Elsevier

Integration

Volume 58, June 2017, Pages 454-462
Integration

A contribution towards model-based design of application-specific MEMS

https://doi.org/10.1016/j.vlsi.2017.03.014Get rights and content

Highlights

  • A model-based design and synthesis flow for MEMS accelerometers.

  • Automated generation of sensor designs and models for co-simulation and layout data.

  • Development of an adaptive readout ASIC for validation measurements.

  • Validation of the method by measurements of a synthesized accelerometer.

Abstract

The design process of heterogeneous systems containing electro-mechanical components and electronic circuits involves expert knowledge, methods, and tools from different engineering domains. Cost-efficient research and development of such heterogeneous systems requires a systematic design flow without gaps. A contribution towards this global goal is presented in this article. A development and synthesis tool for one-dimensional accelerometer MEMS has been implemented, calculating sensor solutions and generating the models and layouts required for a hierarchical design flow in an automatic, module-based approach. Utilizing this flow, different accelerometers have been designed, manufactured, and characterized. A dedicated readout ASIC was developed to validate their dynamic behaviour.

Introduction

Microelectromechanical Systems (MEMS) are important drivers of future product development in many market segments with quantities and revenue in billions. They consist of mechanical components like sensors and actuators in combination with readout and control electronics on just a few square millimetres of silicon. Due to their small sizes and low costs, MEMS are key components for the Internet of Things, where they form a basis of the interface between the virtual and the real world [1]. MEMS development entails a growing demand for support by design methods and models. These should enable handling the ever-growing complexity of system design and the incorporation of its multi-physical character. The overall aim is to tightly interleave, systemize, and partially automate the design methodology of electro-mechanical and electronic components in order to enable access to application-specific MEMS development, not least for small and medium enterprises.

The goal of this work is to introduce the showcase implementation of a LEGO principle of the kind that is available in application-specific integrated circuit (ASIC) development to enable the fabless design of multi-physical systems. Such a principle yields lower development time and complexity as well as reduction in costs, compared with full-custom system design. At the same time it enables higher flexibility and more variety of products, meaning that designing for small numbers of pieces becomes more profitable, which opens market opportunities and possibilities of new applications. Thus our design method gets its bearings from modern ASIC development that combines analog with digital circuit design and decouples circuit design from the development of semiconductor technology.

In ASIC design, a model-based top-down strategy with different hierarchy levels is used, starting with a specification and generating models that are iteratively refined towards the layout level. The right-hand side of Fig. 1 illustrates this approach. Simulations on both system and device level are performed to adapt the functionality of a design to the specification. A kernel principle of this process is the usage of primitive devices provided by the foundry along with accurate, mostly lumped models in a process design kit (PDK).

For a model-based design strategy of the overall system co-simulations of electromechanics and electronics are required. Hence the ability to embed mechanics in a typical IC design environment is beneficial. The benefit, not only from the automation aspect, is that mechanics and electronics can be simultaneously considered, which may include shifting functionality from the electronics to mechanics and vice versa. As an example, a mechanical performance may be relaxed which might be compensated by a higher performance or different specification of the electronic part.

Commonly used tools for the design of the electro-mechanical parts of a sensor are FEM simulators like ANSYS. New system-based design methods ([2], [3], [4], [5]) were developed in the late 90s, which led to the publication of commercial tools like MEMS+ (Coventor), MEMS Pro (SoftMEMS) and SYNPLE (IntelliSense). These tools and methodologies can be utilized to design the electro-mechanical layout of MEMS, but they can as well be used in an integrated design flow of electromechanics and electronics, enabling interactions at different hierarchical levels and thus allowing system simulations of the whole MEMS sensor.

Although the idea of embedding mechanics in typical IC design environment is fairly old, this topic is still subject to intensive research and development activity. Recent contributions within the scientific community include the investigation of packaging aspects using mixed-domain [6] and mixed-abstraction-level simulation [7], yield optimization using statistical methods [8], and even the development of new co-design/simulation platforms for heterogeneous systems [9]. All these approaches close the gaps between the different simulation tools, and thus solve the problems caused by missing interfaces. However, none of them supports an automated synthesis. The basic architecture of the MEMS has to be created by hand or selected from a library. All the tools and methods optimize the parameters of a given topology, but the task of selecting an appropriate topology of the MEMS is left to a human.

The presented development and synthesis tool for one-dimensional accelerometer MEMS combines several commercial tools and assists designers with an automatic, module-based determination of the basic structure, i.e. topology of the electromechanics, and the geometrical parameters of the electro-mechanical sensor part. Basically, the tool generates all the models required for a structured design flow of the electromechanics as well as the layout automatically (Fig. 1, left-hand side). The generated models allow an interaction between the design flow for the electronics and the one for the electromechanics (Fig. 1, connection of left- and right-hand side).

All steps on the left-hand side of Fig. 1 will be illustrated starting from basic structure generation followed by sizing, variant generation, and simulation down to the sensor layout generation, as described in Section 2. Section 3 covers the design of the readout circuit that was needed to characterize the developed accelerometers. In Section 4, we verify our approach with measurement results of a synthesized accelerometer using the readout ASIC. A final discussion in Section 5 concludes this article.

Section snippets

Automated synthesis of MEMS designs – general aspects

The developed design strategy has been implemented in a MATLAB-based flow that generates input data for different commercial tools supporting the overall design and layout process, see Fig. 2.

The underlying algorithm calculates a variety of sensor variants based on a given specification. After choosing a basic mechanical structure, it determines sets of geometric parameters defining different sensor variants. For each of these variants, the design tool generates a Verilog-A model and a model

Readout electronics

In order to validate the dynamic behaviour of the electromechanics of the designed accelerometers, tiny differential capacitances of less than 1 fF have to be measured with high sampling rates (up to 100 kHz). Conventional measurement methods using commercial equipment require long cables that lead to restricted measurement sensitivity and sampling frequency, or heavy PCBs that fail under mechanical excitation.

The only sensible solution to this problem was the development of a dedicated readout

MEMS design examples and measurements

To validate the design flow, the produced sensors were verified with regard to their basic functionality. For this purpose, electro-static measurements of the base capacitance and dynamic measurements were performed to determine the transfer behaviour of the device, especially its resonant frequency. While the base capacitance describes the basic electrical behaviour, the resonant frequency corresponds to the mechanical sensitivity Smech=ω02. The possible working range of the sensor is

Conclusions

This article provided a contribution towards a seamless design flow for heterogeneous systems. A tool and method for the integrated design of a MEMS 1D acceleration sensor was presented, making use of the progress in computer-aided MEMS design and prevailing ASIC development methods. Automatization of important design steps has facilitated the selection of relevant design variants and the generation of models of different abstraction levels for the use in a hierarchical integrated design flow.

Acknowledgement

The authors would like to thank V. Boos and G. Hölzer from X-FAB for their support with the technology; D. Karolewski, R. Pevgonen, and A. Jäger for their contributions to the projects; and B. Hövelmans for the help with the graphical illustrations.

This article presents results of the projects MEMS2015 that was funded by the BMBF (German Ministry of Education and Research) under the reference 16M3093, the project RoMulus that is funded by the BMBF (German Ministry of Education and Research)

Jenny Klaus was born in Eberswalde, Germany in 1969. She received her Diploma and Ph.D. degree in Mathematics from the Ilmenau University of Technology, Germany, in 1994 and 2006, respectively. Since 2011 she has been working at the IMMS Institut für Mikroelektronik- und Mechatronik-Systeme gemeinnützige GmbH, Ilmenau, Germany. Her current activities are the simulation and design of MEMS acceleration sensors.

References (15)

  • IEEE, Electronics360, 2016. (Online). Available: 〈http://electronics360.globalspec.com/article/6039〉. (Accessed 29...
  • G. Lorenz, R. Neul, Network-type modeling of micromachined sensor systems, in: Proceedings International Conference...
  • D. Teegarden, G. Lorenz, R. Neul, How to model and simulate microgyroscope systems, in: Proceedings of the IEEE...
  • G. Lorenz, M. Kamon, A system-model-based design environment for 3D simulation and animation of...
  • R. Fish, S. Breit, Bringing MEMS into the IC Design Flow, in: Proceedings of DAC 2010,...
  • A. Sanginario, A. Mehdaoui, S. Zerbini, G. Schropfer, D. Demarchi, New design methodology for MEMS-electronic-package...
  • G. Schrag, T. Künzig, A. Dehé, Enhanced design of microsystems by combining lumped and distributed system-level models,...
There are more references available in the full text version of this article.

Cited by (7)

View all citing articles on Scopus

Jenny Klaus was born in Eberswalde, Germany in 1969. She received her Diploma and Ph.D. degree in Mathematics from the Ilmenau University of Technology, Germany, in 1994 and 2006, respectively. Since 2011 she has been working at the IMMS Institut für Mikroelektronik- und Mechatronik-Systeme gemeinnützige GmbH, Ilmenau, Germany. Her current activities are the simulation and design of MEMS acceleration sensors.

Eric Schäfer was born in Erfurt, Germany in 1986. He received his B.Sc. and M.Sc. degrees in Electrical Engineering and Information Technology from the Ilmenau University of Technology, Germany, in 2009 and 2010, respectively. In 2011, he joined the IMMS Institut für Mikroelektronik- und Mechatronik-Systeme gemeinnützige GmbH, Ilmenau, Germany, where he presently leads the Sensor and Actuator Electronics team. His current research interests are RF and analog/mixed-signal IC design and methodology.

Roman Paris was born in Neuruppin, Germany, in 1968. He received his Diploma in Electrical Engineering in 1996 from the Ilmenau University of Technology, Germany, where he did research in the field of gas sensing devices until he joined the IMMS Institut für Mikroelektronik- und Mechatronik-Systeme gemeinnützige GmbH, Ilmenau, in 2003. His current activities are the characterization of digital devices and MEMS and measurement soft- and hardware development.

Astrid Frank was born in Königs Wusterhausen, Germany, in 1981. She received her Diploma in Mathematics in 2009 from the Ilmenau University of Technology, Germany. Since 2009 she has been working at the IMMS Institut für Mikroelektronik- und Mechatronik-Systeme gemeinnützige GmbH, Ilmenau. Her current activities are MEMS simulation, design and control engineering in mechatronics.

Ralf Sommer was born in Reinbek, Germany, on October 17, 1961. He received his Diploma and Ph.D. in Electrical Engineering from the Braunschweig University of Technology in 1988 and 1993, respectively. From 1993 until 1996 he was with the Center for Microelectronics (ZMK) at the University of Kaiserslautern, and from 1996 to 2000 with the Institute of Industrial Mathematics (now Fraunhofer ITWM) in Kaiserslautern where he managed a group for computer-aided analog circuit design. From 2000 until 2006 he joined Infineon Technologies AG, Munich, as a group leader in “Analog Simulation” and was jointly responsible in the research area design automation. Since 2006 he is the Professor for Electronic Circuits and Systems at the Ilmenau University of Technology and the scientific director of the IMMS Institut für Mikroelektronik- und Mechatronik-Systeme gemeinnützige GmbH, Ilmenau, Germany.

View full text