Skip to main content
Log in

Abstract

This paper presents a survey of layout techniques for designing low power digital CMOS circuits. It describes the many issues facing designers at the physical level of design abstraction and reviews some of the techniques and tools that have been proposed to overcome these difficulties.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Similar content being viewed by others

References

  1. S.M. Kang and Y. Leblebici, CMOS Digital Integrated Circuits: Analysis and Design, McGraw-Hill Companies, Inc. 1996.

  2. H.J.M. Veendrick, “Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits,” IEEE Journal of Solid State Circuits, Vol. 19, pp. 468–473, Aug. 1984.

    Article  Google Scholar 

  3. N. Hedenstierna and K. Jeppson, “CMOS circuit speed and buffer optimization,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 6, No. 3, pp. 270–281, March 1987.

    Article  Google Scholar 

  4. S. Turgis, N. Azemard, and D. Auvergne, “Explicit evaluation of short circuit power dissipation for CMOS logic structures,” in Proceedings of the 1995 International Symposium on Low Power Design, pp. 129–134, April 1995.

  5. B.W. Kernighan and S. Lin, “An efficient heuristic procedure for partitioning graphs,” Bell System Technical Journal, Vol. 49, No. 2, pp. 291–307, Feb. 1970.

    Article  MATH  Google Scholar 

  6. S. Kirkpatrick, C.D. Gelatt, and M.P. Vecchi, “Optimization by simulated annealing,” Science, Vol. 220, No. 4598, pp. 671–680, May 1983.

    Article  MathSciNet  MATH  Google Scholar 

  7. E.L. Lawler, K.N. Levitt, and J. Turner, “Module clustering to minimize delay in digital networks,” IEEE Transactions on Computers, pp. 45–57, Jan. 1969.

  8. H. Vaishnav and M. Pedram, “Delay optimal partitioning targeting low power VLSI circuits,” in Proceedings of the IEEE International Conference on Computer Aided Design, Nov. 1995.

  9. G. Zimmermann, “A new area and shape function estimation technique for VLSI layout,” in Proceedings of the 25th Design Automation Conference, pp. 60–65, June 1988.

  10. M. Pedram, M. Marek-Sadowska, and E.S. Kuh, “Floorplanning with pin assignment,” in Proceedings of the IEEE International Conference on Computer Aided Design, pp. 98–101, Nov. 1990.

  11. K.Y. Chao and D.F. Wong, “Low-power consideration in floorplan design,” in Proceedings of the 1994 InternationalWorkshop on Low Power Design, pp. 45–50, April 1994.

  12. R.S. Tsay, E.S. Kuh, and C.P. Hsu, “PROUD: A sea-of-gates placement algorithm,” in Proceedings of the IEEE International Conference on Computer Aided Design, pp. 318–323, Nov. 1988.

  13. J.M. Kleinhans, G. Sigl, F.M. Johannes, and K.J. Antreich, ”GORDIAN: VLSI placement by quadratic programming and slicing optimization,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 10, No. 3, pp. 356–365, March 1991.

    Article  Google Scholar 

  14. H. Vaishnav and M. Pedram, “PCUBE: A performance driven placement algorithm for low power designs,” in Proceedings of the European Design Automation Conference, pp. 72–77, Sept. 1993.

  15. K.A. Roberts, “Automatic layout in the Highland system,” in Proceedings of the IEEE International Conference on Computer Aided Design, pp. 224–226, Nov. 1984.

  16. J. Cong and B.T. Preas, “A new algorithm for standard cell global routing,” in Proceedings of the IEEE International Conference on Computer Aided Design, pp. 176–180, Nov. 1988.

  17. K.W. Lee and C. Sechen, “A new global router for row-based layout,” in Proceedings of the IEEE International Conference on Computer Aided Design, pp. 180–183, Nov. 1988.

  18. H. Vaishnav, “Optimization of Post-Layout Area, Delay and Power Dissipation,” Ph.D. Dissertation, Computer Engineering, University of Southern California, Aug. 1995.

  19. B.S. Ting and B.N. Tien, “Routing techniques for gate array,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 2, No. 1, pp. 301–312, Jan. 1983.

    Article  Google Scholar 

  20. T.M. Parng and R.S. Tsay, “A new approach to sea-of-gates global routing,” in Proceedings of the IEEE International Conference on Computer Aided Design, pp. 52–55, Nov. 1989.

  21. G. Meixner and U. Lauther, “A new global router based on a flow model and linear assignment,” in Proceedings of the IEEE International Conference on Computer Aided Design, pp. 44–47, Nov. 1990.

  22. X.L. Hong, J. Huang, C.K. Cheng, and E.S. Kuh, “FARM: An efficient feed-through pin assignment algorithm,” in Proceedings of the 29th Design Automation Conference, pp. 530–535, June 1992.

  23. T. Okamoto, M. Ishikawa, and T. Fujita, “A new feed-through assignment algorithm based on a flow model,” in Proceedings of the 30th Design Automation Conference, pp. 775–778, June 1993.

  24. A. Hashimoto and J. Stevens, “Wire routing by optimization channel assignment within large apertures,” in Proceedings of the 8th Design Automation Workshop, pp. 155–163, 1971.

  25. D.N. Deutch, “A dogleg channel router,” in Proceedings of the 13th Design Automation Conference, pp. 425–433, 1976.

  26. T. Yoshimura and E.S. Kuh, “Efficient algorithms for channel routing,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 1, No. 1, pp. 25–33, Jan. 1982.

    Article  Google Scholar 

  27. R. Rivest and C. Fiduccia, “A greedy channel router,” in Proceedings of the 19th Design Automation Conference, pp. 418–424, 1982.

  28. M. Burstein and R. Pelavin, “Hierarchical channel router,” in Proceedings of the 20th Design Automation Conference, pp. 591–597, 1983.

  29. M. Berkelaar and J. Jess, “Gate sizing in MOS digital circuits with linear programming,” in Proceedings of the European Design Automation Conference, pp. 217–221, 1990.

  30. Y. Tamiya, Y. Matsunaga, and M. Fujita, “LP based cell selection with constraints of timing, area and power consumption,” in Proceedings of the IEEE International Conference on Computer Aided Design, pp. 4378–4381, Nov. 1994.

  31. M. Pedram, “Power estimation and optimization at the logic level,” Int'l Journal of High Speed Electronics and Systems, Vol. 5, No. 2, pp. 179–202, June 1994.

    Article  Google Scholar 

  32. S. Sapatnekar and W. Chuang, “Power versus delay in gate sizing: Conflicting objectives?,” in Proceedings of the IEEE International Conference on Computer Aided Design, pp. —, Nov. 1995.

  33. C.-H. Tan and J. Allen, “Minimization of power in VLSI circuits using transistor sizing, input ordering and statistical power estimation,” in Proceedings of the 1994 International Workshop on Low Power Design, pp. 75–80, April 1994.

  34. M. Borah, R.M. Owens, and M.J. Irwin, “Transistor sizing for minimizing power consumption of CMOS circuits under delay constraint,” in Proceedings of the 1995 International Symposium on Low Power Design, pp. 167–172, April 1995.

  35. S. Pullela, N. Menezes, and L.T. Pillage, “Reliable non-zero skew clock tree using wire width minimization,” in Proceedings of the 30th Design Automation Conference, pp. 165–170, June 1993.

  36. C.-Y. Tsui, M. Pedram, and A.M. Despain, “Power efficient technology decomposition and mapping under an extended power consumption model,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 13, No. 9, pp. 1110–1122, Sept. 1994.

    Article  Google Scholar 

  37. S.C. Prasad and K. Roy, “Circuit optimization for minimization of power consumption under delay constraint,” in Proceedings of the 1994 International Workshop on Low Power Design, pp. 15–20, April 1994.

  38. W.-Z. Shen, J.-Y. Lin, and F-W. Wang, “Transistor reordering rules for power reduction in CMOS gates,” in Proceedings of the 1st Asia-Pacific Design Automation Conference, pp. 1–5, Aug. 1995.

  39. D. Zhou and X.Y. Liu, “Optimal drivers for high speed low power ICs,” to appear in Int'l Journal of High Speed Electronics and Systems, 1996.

  40. J. Cong, C.-K. Koh, and K.-S. Leung, “Simultaneous driver and wire sizing for performance and power optimization,” IEEE Transactions on VLSI Systems, Vol. 2, No. 4, pp. 408–425, Dec. 1994.

    Article  Google Scholar 

  41. J. Cong and K.-S. Leung, “Optimal wire sizing under Elmore delay model,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 14, No. 3, pp. 321–336, March 1995.

    Article  Google Scholar 

  42. N. Menezes, R. Baldick, and L.T. Pileggi, “A sequential quadratic programming approach to concurrent gate and wire sizing,” in Proceedings of the IEEE International Conference on Computer Aided Design, pp. 144–151, Nov. 1995.

  43. J. Lillis, C.-K. Cheng, and T.-T.Y. Lin, “Optimal wire sizing and buffer insertion for low power and a generalized delay model,” in Proceedings of the International Conference on Computer Design, pp. 138–143, Nov. 1995.

  44. D. Dobberpuhl et al., “A 200 MHz, 64b, dual issue CMOS microprocessor,” Digest of Technical Papers, ISSC'92, pp. 106–107, 1992.

  45. R.S. Tsay, “An exact zero-skew clock routing algorithm,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 12, No. 3, pp. 242–249, March 1993.

    Article  MathSciNet  Google Scholar 

  46. M. Edahiro, “A clustering-based optimization algorithm in zero-skew routings,” in Proceedings of the 30th Design Automation Conference, pp. 612–616, June 1993.

  47. Q. Zhu, W.M. Dai, and J.G. Xi, “Optimal sizing of high speed clock network based on distributed and transmission line models,” in Proceedings of the IEEE International Conference on Computer Aided Design, pp. 628–633, Nov. 1993.

  48. M. Edahiro, “Delay minimization for zero-skew routing,” in Proceedings of the International Conference on Computer Design, pp. 563–566, Nov. 1993.

  49. S. Pullela, N. Menezes, J. Omar, and L.T. Pillage, “Skew and delay optimization for reliable buffered clock trees,” in Proceedings of the IEEE International Conference on Computer Aided Design, pp. 556–562, Nov. 1993.

  50. J.G. Xi and W.-M. Dai, “Buffer insertion and sizing under process variations for low power,” in Proceedings of the 32nd Design Automation Conference, pp. 491–496, June 1995.

  51. A. Vittal and M. Marek-Sadowska, “Power optimal buffered clock tree design,” in Proceedings of the 32nd Design Automation Conference, pp. 497–502, June 1995.

  52. J. Cong and C.-K. Koh, “Minimum-cost bounded-skew clock routing,” in Proceedings of the International Symposium on Circuits and Systems, pp. 215–218, 1995.

  53. D.J. Huang, A.B. Kahng, and C.W. Tsao,”On the bounded-skew clock and Steiner tree problems,” in Proceedings of the 32nd Design Automation Conference, pp. 508–513, June 1995.

  54. J. Oh and M. Pedram, “Constructing lower and upper bounded delay routing trees using linear programming,” in Proceedings of the 33rd Design Automation Conference, June 1996 (to Appear).

  55. A. Vittal and M. Marek-Sadowska, “Power distribution topology design,” in Proceedings of the 32nd Design Automation Conference, pp. 503–507, June 1995.

  56. H.-R. Lin and T.-T. Hwang, “Power reduction by gate sizing with path-oriented slack calculation,” in Proceedings of the 1st Asia-Pacific Design Automation Conference, pp. 7–12, Aug. 1995.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Pedram, M., Vaishnav, H. Power Optimization in VLSI Layout: A Survey. The Journal of VLSI Signal Processing-Systems for Signal, Image, and Video Technology 15, 221–232 (1997). https://doi.org/10.1023/A:1007907110303

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1007907110303

Keywords

Navigation