Skip to main content
Log in

Classification and Test Generation for Path-Delay Faults Using Single Struck-at Fault Tests

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

We classify all path-delay faults of a combinational circuit intothree categories: singly-testable (ST), multiply-testable (MT), and singly-testable dependent} (ST-dependent). The classification uses anyunaltered single stuck-at fault test generation tool. Only two runsof this tool on a model network derived from the original network areperformed. As a by-product of this process, we generate single andmultiple input change delay tests for all testable faults. With thesetests, we expect that most defective circuits are identified. All STfaults are guaranteed detection in the case of a single fault, andsome may be guaranteed detection through robust and validatablenon-robust tests even in the case of multiple faults. An ST-dependentfault can affect the circuit speed only if certain ST faults arepresent. Thus, if all ST faults are tested, the ST-dependent faultsneed not be tested. MT faults cannot be guaranteed detection, butaffect the speed only if delay faults simultaneously exist on a setof paths, none of which is ST. Examples and results on several ISCAS‘89 benchmarks are presented. The method of classification throughtest generation using a model network is complex and can be appliedto circuits of moderate size. For larger circuits, alternativemethods will have to be explored in the future.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. G.L. Smith, “Model for Delay Faults Based Upon Paths,” Proceedings of the International Test Conference, Nov. 1985, pp. 342–349.

  2. C.J. Lin and S.M. Reddy, “On Delay Fault Testing in Logic Circuits,” IEEE Transactions on Computer-Aided Design, Vol. 6, pp. 694–703, Sept. 1987.

    Google Scholar 

  3. S.M. Reddy, C.J. Lin, and S. Patil, “An Automatic Test Pattern Generator for the Detection of Path Delay Faults,” Proceedings of the IEEE International Conference on Computer-Aided Design, Nov. 1987, pp. 284–287.

  4. M.A. Gharaybeh, M.L. Bushnell, and V.D. Agrawal, “Classification and Test Generation for Path-Delay Faults Using Single Stuck-Fault Tests,” Proceedings of the International Test Conference, Oct. 1995, pp. 139–148.

  5. W. Ke and P.R. Menon, “Synthesis of Delay-Verifiable Combinational Circuits,” IEEE Transactions on Computers, Vol. 44, pp. 213–222, Feb. 1995.

    Google Scholar 

  6. K.-T. Cheng, A. Krstic, and H.-C. Chen, “Generation of High Quality Tests for Robustly Untestable Path Delay Faults,” IEEE Transactions on Computers, Vol. 45, pp. 1379–1393, Dec. 1996.

    Google Scholar 

  7. S. Bose, P. Agrawal, and V.D. Agrawal, “Logic Systems for Path Delay Test Generation,” Proceedings of the European Design Automation Conference, Sept. 1993, pp. 200–205.

  8. S.T. Chakradhar, M.A. Iyer, and V.D. Agrawal, “Energy Models for Delay Testing,” IEEE Transactions on Computer-Aided Design, Vol. 14, pp. 728–738, June 1995.

    Google Scholar 

  9. K. Fuchs, F. Fink, and M.H. Schulz, “DYNAMITE: An Efficient Automatic Test Pattern Generation System for Path Delay Faults,” IEEE Transactions on Computer-Aided Design, Vol. 10, pp. 1323–1335, Oct. 1991.

    Google Scholar 

  10. T.J. Chakraborty, V.D. Agrawal, and M.L. Bushnell, “Delay Fault models and Test Generation for Random Logic Sequential Circuits,” Proceedings of the 29th ACM/IEEE Design Automation Conference, June 1992, pp. 165–172.

  11. A. Saldanha, R.K. Brayton, and A.L. Sangiovanni-Vincentelli, “Equivalence of Robust Delay-Fault and Single Stuck-Fault Test Generation,” Proceedings of the 29th ACM/IEEE Design Automation Conference, June 1992, pp. 173–176.

  12. J. Giraldi and M.L. Bushnell, “EST: The New Frontier in Automatic Test-Pattern Generation,” Proceedings of the 27th ACM/IEEE DesignAutomation Conference, June 1990, pp. 667–672.

  13. D. Bhattacharya, P. Agrawal, and V.D. Agrawal, “Test Generation for Path Delay Faults Using Binary Decision Diagrams,” IEEE Transactions on Computers, Vol. 44, pp. 434–447, March 1995.

    Google Scholar 

  14. I.P. Shaik and M.L. Bushnell, “Circuit Design for Low Overhead Delay-Fault BIST Using Constrained Quadratic 0-1 Programming,” Proceedings of the 13th IEEE VLSI Test Symposium, April 1995, pp. 393–399.

  15. W.K. Lam, A. Saldanha, R.K. Brayton, and A.L. Sangiovanni-Vincentelli, “Delay Fault Coverage and Performance Tradeoffs,” Proceedings of the 30th Design Automation Conference, June 1993, pp. 446–452.

  16. K.-T. Cheng and H.-C. Chen, “Classification and Identification of Nonrobust Untestable Path Delay Faults,” IEEE Transactions on Computer-Aided Design, Vol. 15, pp. 845–853, Aug. 1996.

    Google Scholar 

  17. F. Brglez, D. Brayan, and K. Kozminski, “Combinational Profiles of Sequential Benchmark Circuits,” Proceedings of the IEEE International Symposium on Circuits and Systems, May 1989, pp. 1929–1934.

  18. E.J. McCluskey, “Transients in Combinational Logic Circuits,” in Redundancy Techniques for Computing Systems, Wilcox and Mann (Eds.), Spartan Books, 1962, pp. 9–46.

  19. W.K. Lam and R.K. Brayton, Timed Boolean Functions: A Unified Formalism for Exact Timing Analysis, Kluwer Academic Publishers, Boston, 1994.

    Google Scholar 

  20. D.B. Armstrong, “On Finding a Nearly Minimal Set of Fault Detection Tests for Combinational Logic Nets,” IEEE Transactions on Electronic Computers, Vol. EC-15, pp. 66–73, Feb. 1966.

    Google Scholar 

  21. S. Devadas, K. Keutzer, and S. Malik, “Delay Computation in Combinational Logic Circuits: Theory and Algorithms,” Proceedings of the IEEE International Conference on Computer- Aided Design, Nov. 1991, pp. 176–179.

  22. U. Sparmann, D. Luxenburger, K.T. Cheng, and S.M. Reddy, “Fast Identification of Robust Dependent Path Delay Faults,” Proceedings of the 32nd Design Automation Conference, June 1995, pp. 119–125.

  23. M. Sivaraman and A.J. Strojwas, “Primitive Path Delay Fault Identification,” Proceedings 10th International Conference on VLSI Design, Jan. 1997, pp. 95–100.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Gharaybeh, M.A., Bushnell, M.L. & Agrawal, V.D. Classification and Test Generation for Path-Delay Faults Using Single Struck-at Fault Tests. Journal of Electronic Testing 11, 55–67 (1997). https://doi.org/10.1023/A:1008247801050

Download citation

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1008247801050

Navigation