Skip to main content
Log in

VLSI Circuit Performance Optimization by Geometric Programming

  • Published:
Annals of Operations Research Aims and scope Submit manuscript

Abstract

Delay of VLSI circuit components can be controlled by varying their sizes. In other words, performance of VLSI circuits can be optimized by changing the sizes of the circuit components. In this paper, we define a special type of geometric program called unary geometric program. We show that under the Elmore delay model, several commonly used formulations of the circuit component sizing problem considering delay, chip area and power dissipation can be reduced to unary geometric programs. We present a greedy algorithm to solve unary geometric programs optimally and efficiently. When applied to VLSI circuit component sizing, we prove that the runtime of the greedy algorithm is linear to the number of components in the circuit. In practice, we demonstrate that our unary-geometric-program based approach for circuit sizing is hundreds of times or more faster than other approaches.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Similar content being viewed by others

References

  1. M.S. Bazaraa, H.D. Sherali and C.M. Shetty, Nonlinear Programming: Theory and Algorithms, 2nd ed. (Wiley, 1993).

  2. C.-P. Chen, Y.-W. Chang and D.F. Wong, Fast performance-driven optimization for buffered clock trees based on Lagrangian relaxation, in: Proc. ACM/IEEE Design Automation Conf. (1996) pp. 405-408.

  3. C.-P. Chen, C.C.N. Chu and D.F. Wong, Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation, IEEE Trans. Computer-Aided Design 18(7) (1999) 1014–1025.

    Google Scholar 

  4. C.-P. Chen, H. Zhou and D.F. Wong, Optimal non-uniform wire-sizing under the Elmore delay model, in: Proc. IEEE Intl. Conf. on Computer-Aided Design (1996) pp. 38-43.

  5. C.C.N. Chu and D.F. Wong, Greedy wire-sizing is linear time, IEEE Trans. Computer-Aided Design 18(4) (1999) 398–405.

    Google Scholar 

  6. M.A. Cirit, Transistor sizing in CMOS circuits, in: Proc. ACM/IEEE Design Automation Conf. (1987) pp. 121-124.

  7. J. Cong and L. He, An efficient approach to simultaneous transistor and interconnect sizing, in: Proc. IEEE Intl. Conf. on Computer-Aided Design (1996) pp. 181-186.

  8. J. Cong and C.-K. Koh, Simultaneous driver and wire sizing for performance and power optimization, in: Proc. IEEE Intl. Conf. on Computer-Aided Design (1994) pp. 206-212.

  9. J. Cong and K.-S. Leung, Optimal wiresizing under the distributed Elmore delay model, IEEE Trans. Computer-Aided Design 14(3) (1995) 321–336.

    Google Scholar 

  10. R.J. Duffin, E.L. Peterson and C. Zener, Geometric Programming-Theory and Application (Wiley, NY, 1967).

    Google Scholar 

  11. W.C. Elmore, The transient response of damped linear network with particular regard to wideband amplifiers, J. Applied Physics 19 (1948) 55–63.

    Google Scholar 

  12. J.P. Fishburn and A.E. Dunlop, TILOS: A posynominal programming approach to transistor sizing, in: Proc. IEEE Intl. Conf. on Computer-Aided Design (1985) pp. 326-328.

  13. D.G. Luenberger, Linear and Nonlinear Programming, 2nd ed. (Addison-Wesley, 1984).

  14. M.M. Mano, Digital Logic and Computer Design (Prentice-Hall, 1979).

  15. D.P. Marple, Performance optimization of digital VLSI circuits, Technical Report CSL-TR-86-308, Stanford University (October 1986).

  16. D.P. Marple, Transistor size optimization in the Tailor layout system, in: Proc. ACM/IEEE Design Automation Conf. (1989) pp. 43-48.

  17. N. Menezes, R. Baldick and L.T. Pileggi, A sequential quadratic programming approach to concurrent gate and wire sizing, in: Proc. IEEE Intl. Conf. on Computer-Aided Design (1995) pp. 144-151.

  18. N. Menezes, S. Pullela, F. Dartu and L.T. Pileggi, RC interconnect syntheses-a moment fitting approach, in: Proc. IEEE Intl. Conf. on Computer-Aided Design (1994) pp. 418-425.

  19. N. Menezes, S. Pullela and L.T. Pileggi, Simultaneous gate and interconnect sizing for circuit level delay optimization, in: Proc. ACM/IEEE Design Automation Conf. (1995) pp. 690-695.

  20. S.S. Sapatnekar, RC interconnect optimization under the Elmore delay model, in: Proc. ACM/IEEE Design Automation Conf. (1994) pp. 387-391.

  21. S.S. Sapatnekar, V.B. Rao, P.M. Vaidya and S.M. Kang, An exact solution to the transistor sizing problem for CMOS circuits using convex optimizaiton, IEEE Trans. Computer-Aided Design 12(11) (1993) 1621–1634.

    Google Scholar 

  22. J. Shyu, J.P. Fishburn, A.E. Dunlop and A.L. Sangiovanni-Vincentelli, Optimization-based transistor sizing, IEEE J. Solid-State Circuits 23 (1988) 400–409.

    Google Scholar 

  23. SPEC table, ftp://ftp.cdf.toronto.edu/pub/spectable.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Chu, C., Wong, D. VLSI Circuit Performance Optimization by Geometric Programming. Annals of Operations Research 105, 37–60 (2001). https://doi.org/10.1023/A:1013345330079

Download citation

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1013345330079

Navigation