Skip to main content
Log in

Synthesis of Scan Chains for Netlist Descriptions at RT-Level

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

This paper presents a methodology to insert scan paths in a functional Register Transfer Level (RTL) specification of a design that can exploit existing functional paths between sequential elements in the original circuit for establishing scan chains. The primary objective for RTL scan insertion is to reduce the time taken for DFT, and thus reduce the time to market. Additionally, building scan chains at the functional RT-Level is expected to reduce the total area overhead introduced by full scan without compromising the fault coverage achieved. In addition, it often eliminates the delay associated with the additional multiplexer as a part of a conventional scan-cell in high performance designs. Experimental results presented in this paper demonstrate that the proposed method achieves the above objectives while also achieving higher fault coverages for most of the benchmark circuits considered.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Similar content being viewed by others

References

  1. R. Airiau, J.-M. Berge, and V. Olive, Circuit Synthesis with VHDL, Boston, MA: Kluwer Academic Publishers

  2. C. Aktouf, H. Fleury, and C. Robach, “Inserting Scan at the Behavioral Level,” IEEE Design & Test of Computers, pp. 34–42, July 2000.

  3. T. Asaka, S. Bhattacharya, S. Dey, and M. Yoshida, “H-SCAN+: A Practical Low-Overhead RTL Design-for-Testability Technique for Industrial Designs,” in ITC, 1997, pp. 265–274.

  4. S. Bhatia and N.K. Jha, “Synthesis for Parallel Scan: Applications to Partial Scan and Robust Path-Delay Fault Testability,” IEEE Trans. on CAD, vol. 15, no. 2, 1996.

    Google Scholar 

  5. H. Bhatnagar, Advanced ASIC Chip Synthesis Using Synopsys à Design CompilerTM and Prime Timeà, Boston, MA: Kluwer Academic Publishers.

  6. S.Bhattacharya and S. Dey, “H-SCAN: A High Level Alternative to Full-Scan Testing with Reduced Area and Test Application Overheads,” Proc. 14th VTS, 1996, pp. 74–80.

  7. M.R. Garey and D.S. Johnson, Computers and Intractability: A Guide to The Theory of NP-Completeness, San Francisco, CA: W.H. Freeman.

  8. W.F. Lee, VHDL Coding and Logic Synthesis with Synopsysà, San Diego, CA: Academic Press.

  9. C.-C. Lin, M.M. Sadowska, K.-T. Cheng, and M.T.-C. Lee, “Test-Point Insertion: Scan Paths Through Functional Logic,” IEEE Trans. on CAD, vol. 17, pp. 838–851, September 1998.

    Google Scholar 

  10. C.-C. Lin, M.M. Sadowska, M.T.-C. Lee, and K.-C. Chen, “Cost-Free Scan: A Low-Overhead Scan Path Design,” IEEE Trans. on CAD, vol. 17, pp. 852–861, September 1998.

    Google Scholar 

  11. R.B. Norwood and E.J. McCluskey, “Orthogonal Scan: Low overhead scan for data paths,” Proc. ITC, 1996, pp. 659–668.

  12. R.B. Norwood and E.J. McCluskey, “Synthesis-for-Scan and Scan Chain Ordering,” Proc. VTS, 1996, pp. 87–92.

  13. S.M. Reddy and R. Dandapani, “Scan Design Using Standard Flip-Flops” IEEE Design & Test, pp. 52–54, February 1987.

  14. S. Roy, “RTL Based Scan BIST,” in Proceedings of VHDL International User's Forum, Fall 1997.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Huang, Y., Tsai, CC., Mukherjee, N. et al. Synthesis of Scan Chains for Netlist Descriptions at RT-Level. Journal of Electronic Testing 18, 189–201 (2002). https://doi.org/10.1023/A:1014949727553

Download citation

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1014949727553

Navigation