Skip to main content
Log in

Test-Per-Clock Logic BIST with Semi-Deterministic Test Patterns and Zero-Aliasing Compactor

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

We present a test-per-clock BIST scheme using memory for storing test patterns that reduces the number of clock cycle necessary for testing. Thus, the test application time is shorter and energy consumption is lower than those in other solutions. The test hardware consists of a space compactor and a MISR, which provides zero error aliasing for modeled faults. The test pattern generator (TPG) scheme is based on a T-type flip-flop feedback shift register. The generator can be seeded similarly to a D-type flip-flop shift register. It generates test patterns in a test-per-clock mode. The TPG pattern sequence is modified at regular intervals by adding a modulo-2 bit from a modification sequence, which is stored in a memory. The memory can be either a ROM on the chip or a memory in the tester. The test patterns have both random and deterministic properties, which are advantageous for the final quality of the resulting test sequence. The number of bits stored in the memory, number of clock cycles, hardware overhead and the parameters of the resulting zero aliasing space compactor and MISR are given for the ISCAS benchmark circuits. The experiments demonstrate that the BIST scheme provides shorter test sequences than other methods while the hardware overhead and memory requirements are kept low.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. M. Abramovici, M.A. Breuer, and A.D. Friedman, Digital Systems Testing and Testable Design, Piscataway, New Jersey: IEEE Press, 1995.

    Google Scholar 

  2. V.D. Agrawal, C.R. Kime, and K.K.Saluja, “A Tutorial on Built-In Self-Test Part 2: Applications,” in IEEE Design & Test of Computers, 1993, pp. 69-77.

  3. K. Chakrabarty, “Zero-Aliasing Space Compaction Using Linear Compactors with Bounded Overhead,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 17, no. 5, pp. 452-457, 1998.

    Google Scholar 

  4. K. Chakrabarty, B.T. Murray, and V. Iyengar, “Built-in Test Pattern Generation for High-Performance Circuits Using Twisted-Ring Counters,” in Proc. of IEEE VLSI Test Symp., 1999, pp. 22-27.

  5. M. Chatterjee and D.K. Pradhan, “A Novel Pattern Generator for Near Perfect Fault-Coverage,” in Proc. of IEEE VLSI Test Symp., 1995, pp. 417-425.

  6. R.M Chou, K.K. Saluja, and V D. Agrawal, “Scheduling Tests for VLSI System Under Power Constraints,” IEEE Trans. on Very Large Scale Integration Systems, vol. 5, no. 2, pp. 175-185, 1997.

    Google Scholar 

  7. B. Eschermann and H.J. Wunderlich, “Optimized Synthesis Techniques for Testable Sequential Circuits,” IEEE Trans. on CAD., vol. 11, no. 3, pp. 301-312, 1992.

    Google Scholar 

  8. T. Garbolino and A. Hlawiczka, “A New LFSR with D and TFlip-Flops as an Effective Test Pattern Generator for VLSI Circuits,” Springer: Lecture Notes in Computer Science 1667, 1999, pp. 321-338.

  9. T. Garbolino, A. Hlawiczka, and A. Kristof, “Easy Integration of Based on T-Type Flip-Flop Test Pattern Generators to the Scan Path,” in Proc. of European Test Workshop (ETW'00), Cascais, Portugal, 2000, pp. 161-166.

  10. K. Gucwa, “Zero Aliasing Linear Compactors for BIST,” A PhD dissertation submitted to the Dept. of Automatic Control, Electronics and Computer Science of Silesian University of Technology, Gliwice, Poland, 2000.

    Google Scholar 

  11. I. Hamazaoglu and J.H. Patel, “Test Set Compaction Algorithms for Combinational Circuits,” in Proc. of International Conf. on Computer-Aided Design, 1998, pp. 283-289.

  12. S. Hellebrand, H.G. Liang, and H.J. Wunderlich, “A Mixed Mode BIST Scheme Based on Reseeding of Folding Counters,” in Proc. of ITC, 2000, pp. 778-785.

  13. S. Hellebrand, J. Rajski, S. Tarnick, S. Venkatarman, and B. Courtois, “Built-In Test for Circuits with Scan Based on Reseeding of Multiple-Polynomial Linear Feedback Shift Registers,” IEEE Trans. on Comp., vol. 44, no. 2, pp. 223-233, 1995.

    Google Scholar 

  14. A. Hlawiczka and J. Binda, “The Optimized Synthesis of Self-Testable Finite State Machines Using BIST-PST Structures in ALTERA Structures,” in Proc. of 4th InternationalWorkshop on Field Programmable Logic and Applications, Praque, Lecture Notes in Computer Science No. 849, Springer Verlag Press, pp. 120-122, Sept. 7-9, 1994.

  15. B.Koenemann, “LFSR-Coded Test Patterns For Scan Designs,” in Proc. European Test Conf., Munich, Germany, 1991, pp. 237-242.

  16. M. Lempel and S.K Gupta, “Zero-Aliasing for Modeled Faults,” IEEE Trans. on Computers, vol. 44, no. 11, pp. 1283-1295, 1995.

    Google Scholar 

  17. O. Novak, “Pseudorandom,Weighted Random and Pseudoexhaustive Test Patterns Generated in Universal Cellular Automata,” Springer: Lecture Notes in Computer Science 1667, Sept. 1999, pp. 303-320.

  18. O. Nov´ak, A. Hlawiczka, T. Garbolino, K. Gucwa, Z. Pl´va, and J. Nosek, “Low Hardware Overhead Deterministic Logic BIST with Zero-Aliasing Compactor,” in Proc. of IEEE Design and Diagnostics of Electronic Circuits and Systems Workshop, Gy¨or, Hungary, April 18-20, 2001, pp. 29-35.

  19. O. Novak and J. Nosek, “On Using Deterministic Test Sets in BIST,” in Proc. of 6th IEEE Inter. On-Line Testing Workshop, Palma de Mallorca, 2000, pp.127-132.

  20. O. Novak and J. Nosek, “Test-per-Clock Testing of the Circuits with Scan,” in Proc. of 7th IEEE International On-Line Testing Workshop, 2001, pp. 90-92.

  21. J. Savir, “Reducing the MISR Size,” IEEE Trans. on Computers, vol. 45, no. 8. pp. 930-938, 1996.

    Google Scholar 

  22. N.A. Touba and E.J. McCluskey, “Synthesis of Mapping Logic for Generating Transformed Pseudo-Random Patterns for BIST,” in Proc. of Inter. Test Conf., 1995, pp. 674-682.

  23. L.T. Wang and E.J. McCluskey, “Built-In Self-Test for Sequential Machines,” in Proc. Int. Test Conference,Washington, 1987, pp. 334-341.

  24. H.-J. Wunderlich and G. Kiefer, “Bit-Flipping BIST,” in Proc. of ACM/IEEE Inter. Conf. on CAD (ICCAD96), San Jose, California, 1996, pp. 337-343.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Novák, O., Plíva, Z., Nosek, J. et al. Test-Per-Clock Logic BIST with Semi-Deterministic Test Patterns and Zero-Aliasing Compactor. Journal of Electronic Testing 20, 109–122 (2004). https://doi.org/10.1023/B:JETT.0000009317.31947.c8

Download citation

  • Issue Date:

  • DOI: https://doi.org/10.1023/B:JETT.0000009317.31947.c8

Navigation