Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Power profiling-guided floorplanner for 3D multi-processor systems-on-chip

Power profiling-guided floorplanner for 3D multi-processor systems-on-chip

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Circuits, Devices & Systems — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Three-dimensional (3D) integration has become one of the most promising techniques for the development of future multi-core processors, since it improves performance and reduces power consumption by decreasing global wire length. However, 3D integration causes serious thermal problems because the closer proximity of heat generating dies makes existing thermal hotspots more severe. Thermal-aware floorplanners can play an important role to improve the thermal profile, but they have failed in considering the dynamic power profiles of the applications. This study proposes a novel thermal-aware floorplanner guided by the power profiling of a set of benchmarks that are representative of the application scope. The results show how our approach outperforms the thermal metrics as compared with the worst-case scenario usually considered in ‘traditional’ thermal-aware floorplanners.

References

    1. 1)
    2. 2)
      • G. Paci , P. Marchal , F. Poletti , L. Benini . Exploring ‘temperature-aware’ design in low-power MPSoCs. Design Automation Test in Europe Conf. on Exhibition
    3. 3)
      • Singhal, L., Oh, S., Bozorgzadeh, E.: `Statistical power profile correlation for realistic thermal estimation', Proc. 2008 Asia and South Pacific Design Automation Conf., 2008, Los Alamitos, CA, USA, p. 67–70.
    4. 4)
    5. 5)
    6. 6)
      • Intel: http://www.intel.com/cd/channel/reseller/apac/tha/products/desktop/processor/processors/corei7-900/feature/431132.htm.
    7. 7)
    8. 8)
    9. 9)
      • Li, Y., Chen, Y.-C., Cheng, H.-W.: `Temperature aware floorplanning via geometry programming', IEEE Int. Conf. on CSE Workshops., July 2008, p. 295–298.
    10. 10)
      • Liu, Y., Dick, R.P., Shang, L., Yang, H.: `Accurate temperature-dependent integrated circuit leakage power estimation is easy', DATE, 2007, p. 1526–1531.
    11. 11)
    12. 12)
      • Sridhar, A., Vincenzi, A., Ruggiero, M., Brunschwiler, T., Atienza, D.: `3D-ICE: fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling', IEEE/ACM Int. Conf. on Computer-Aided Design (ICCAD), November 2010, p. 463–470.
    13. 13)
      • M. Healy , M. Vittes , M. Ekpanyapong . Multiobjective microarchitectural floorplanning for 2D and 3D ICs. IEEE Trans. CADICS , 1 , 38 - 52
    14. 14)
      • Cuesta, D., Risco, J.L., Ayala, J.L., Atienza, D.: `3D thermal-aware floorplanner for many-core single-chip systems', IEEE Latin-American Test Workshop, 2011.
    15. 15)
      • Berntsson, J., Tang, M.: `A slicing structure representation for the multi-layer floorplan layout problem', EvoWorkshops, 2004, p. 188–197.
    16. 16)
      • Imperas: available at www.ovpworld.org.
    17. 17)
      • K. Sankaranarayanan , S. Velusamy , L.M.S. Charles , K. Skadron . A case for thermal-aware floorplanning at the microarchitectural level. J. Instr. Level Parallelism , 1 , 8 - 16
    18. 18)
    19. 19)
      • C. Coello , G. Lamont , D. Veldhuizen . (2007) Evolutionary algorithms for solving multi-objective problems.
    20. 20)
      • Li, X., Ma, Y., Hong, X.: `A novel thermal optimization flow using incremental floorplanning for 3D ICs', ASPDAC, 2009, p. 347–352.
    21. 21)
      • Hung, W.L., Xie, Y., Vijaykrishnan, N., Addo-Quaye, C., Theocharides, T., Irwin, M.: `Thermal-aware floorplanning using genetic algorithms', Sixth Int. Symp. on Quality of Electronic Design. ISQED., March 2005, p. 634–639.
    22. 22)
    23. 23)
    24. 24)
    25. 25)
      • IBM, ‘Complex SoC Design, China Design Center IBM’, 2009, http://www.ibm.com/systems/support/tools/estimator/energy.
    26. 26)
      • ARM: available at www.arm.com/products/processors/cortex-a/cortex-a9.php.
    27. 27)
      • M. Ekpanyapong , M.B. Healy , C.S. Ballapuram , S.K. Lim , S. Hsin , H. Lee . (2004) Thermal-aware 3D microarchitectural floor planning..
    28. 28)
      • Arnaldo, I.: `Evolutionary approaches to solve the 3D thermal-aware floorplanning problem using heterogeneous processors', 2011, Master's, Complutense University, Madrid.
    29. 29)
      • Arnaldo, I., Risco-Martin, J.L., Ayala, J.L., Hidalgo, J.I.: `Power profiling-guided floorplanner for thermal optimization in 3D multiprocessor architectures. Integrated circuit and system design', Power Timing Modeling, Optimization Simulation, Springer Berlin/Heidelberg, 2011, p. 11–21, (LNCS, 6951).
    30. 30)
    31. 31)
      • Cong, J., Wei, J., Zhang, Y.: `A thermal-driven floorplanning algorithm for 3D ICs', Proc. 2004 IEEE/ACM Int. Conf. on Computer-Aided Design. ICCAD '04, IEEE Computer Society, 2004, Washington, DC, USA, p. 306–313.
    32. 32)
      • OpenSPARC: available at http://www.opensparc.net/pubs/preszo/07/n2isscc.pdf, 2007.
    33. 33)
      • HPlabs: available at www.hpl.hp.com/research/cacti/.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds.2011.0350
Loading

Related content

content/journals/10.1049/iet-cds.2011.0350
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address