Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Robust paradigm for diagnosing hold-time faults in scan chains

Robust paradigm for diagnosing hold-time faults in scan chains

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Hold-time violation is a common cause of failure at scan chains. A robust new paradigm for diagnosing such failures is presented. As compared to previous methods, the main advantage of this is the ability to tolerate non-ideal conditions, for example, under the presence of certain core logic faults or for those faults that manifest themselves intermittently. The diagnosis problem is first formulated as a ‘delay insertion process’. Upon this formulation, two algorithms – a ‘greedy’ algorithm and a so-called ‘best-alignment-based’ algorithm – is proposed. Experimental results on a number of practical designs and ISCAS'89 benchmark circuits are presented to demonstrate its effectiveness.

References

    1. 1)
      • A. Crouch . Debugging and diagnosing scan chain. EDFAS , 16 - 24
    2. 2)
      • Huang, Y., Cheng, W.-T., Hsieh, C.-J., Tseng, H.-Y., Huang, A., Hung, Y.-T.: `Efficient diagnosis for multiple intermittent scan chain hold-time faults', Proc. Asian Test Symp. (ATS'03), 2003, p. 44–49.
    3. 3)
      • Wu, Y.: `Diagnosis of scan chain failures', Proc. Int. Symp. Defect and Fault Tolerance in VLSI Systems (DFT'98), 1998, p. 217–222.
    4. 4)
    5. 5)
      • Narayanan, S., Das, A.: `An efficient scheme to diagnose scan chains', Proc. Int. Test Conf. (ITC'97), 1997, p. 704–713.
    6. 6)
      • C.-W. Tzeng , S.-Y. Huang . Diagnosis by image recovery: finding mixed multiple timing faults in a scan chain. IEEE Trans. Circuits Syst. II , 8 , 690 - 694
    7. 7)
      • Savir, J.: `Skewed-load transition test: part I, calculus', Proc. Int. Test Conf. (ITC'92), September 1992, p. 705–713.
    8. 8)
      • Huang, Y., Cheng, W.-T., Hsieh, C.-J., Tseng, H.-Y., Hung, Y.-T.: `Intermittent scan chain fault diagnosis based on signal probability analysis', Proc. Design, Automation and Test in Europe (DATE'04), 2004, 2, p. 1072–1077.
    9. 9)
      • Yang, J.-S., Huang, S.-Y.: `Quick scan chain diagnosis using signal profiling', Proc. Int. Conf. Computer Design (ICCD'05), October 2005, p. 157–160.
    10. 10)
      • Edirisooriva, S., Edirisooriva, G.: `Diagnosis of scan path failures', Proc. VLSI Test Symp. (VTS'95), 1995, p. 250–255.
    11. 11)
      • Huang, Y., Cheng, W.-T., Rajski, J.: `Compressed pattern diagnosis for scan chain failures', Proc. Int. Test Conf., November 2005.
    12. 12)
      • Cheney, L., Sheils, N.: `A method for isolating defects in scannable sequential elements', Proc. Intel Design and Test Technology Conf., 2000.
    13. 13)
      • Guo, R., Venkataraman, S.: `A technique for fault diagnosis of defects in scan chains', Proc. Int. Test Conf. (ITC'01), 2001, p. 268–277.
    14. 14)
      • Schafer, J.L.: `Partner SRLs for improved shift register diagnosis', Proc. VLSI Test Symp. (VTS'92), 1992, p. 198–201.
    15. 15)
      • Kundu, S.: `On diagnosis of faults in a scan chain', Proc. VLSI Test Symp. (VTS'93), 1993, p. 303–308.
    16. 16)
      • Huang, Y., Cheng, W.-T., Reddy, S.-M., Hsieh, C.-J., Hung, Y.-T.: `Statistical diagnosis for intermittent scan chain hold-time fault', Proc. Int. Test Conf. (ITC'03), 2003, p. 319–328.
    17. 17)
      • K. Stanley . High-accuracy flush-and-scan software diagnostics. IEEE Des. Test Comput. , 56 - 62
    18. 18)
      • Patil, S., Savir, J.: `Skewed-load transition test: part II, coverage', Proc. Int. Test Conf. (ITC'92), September 1992, p. 714–722.
    19. 19)
      • J.C.-M. Li . Diagnosis of timing faults in scan chains using single excitation patterns. IEICE Trans. Electron. , 4 , 1024 - 1030
    20. 20)
      • Hsu, J.-J., Huang, S.-Y., Tzeng, C.-W.: `A new robust paradigm for diagnosing hold-time faults in scan chains', Proc. VLSI Design, Automation, and Testing (VLSI-DAT'06), April 2006, p. 171–174.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt_20060205
Loading

Related content

content/journals/10.1049/iet-cdt_20060205
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address