Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Debug enhancements in assertion-checker generation

Debug enhancements in assertion-checker generation

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Although assertions are a great tool for aiding debugging in the design and implementation verification stages, their use in silicon debug has been limited so far. A set of techniques for debugging with the assertions in either pre-silicon or post-silicon scenarios are discussed. Presented are features such as assertion threading, activity monitors, assertion and cover counters and completion mode assertions. The common goal of these checker enhancements is to provide better and more diversified ways to achieve visibility within the assertion circuits, which, in turn, lead to more efficient circuit debugging. Experimental results show that such modifications can be done with modest checker hardware overhead.

References

    1. 1)
      • Hsu, Y.-C., Tsai, F., Jong, W., Chang, Y.-T.: `Visibility enhancement for silicon debug', Proc. 43rd Design Automation Conf. (43rd DAC), 2006, p. 13–18.
    2. 2)
      • C. Eisner , D. Fisman . (2006) A Practical Introduction to PSL.
    3. 3)
      • Bouĺe, M., Zilic, Z.: `Efficient automata-based assertion-checker synthesis of psl properties', Proc. 2006 IEEE Int. High Level Design Validation and Test Workshop (HLDVT'06), 2006, p. 69–76.
    4. 4)
      • Bouĺe, M., Zilic, Z.: `Efficient Automata-Based Assertion-Checker Synthesis of SEREs for Hardware Emulation', Proc. 12th Asia and South Pacific Design Automation Conf. (ASP-DAC2007), 2007, p. 324–329.
    5. 5)
      • B. Cohen , S. Venkataramanan , A. Kumari . (2004) Using PSL/ sugar for formal and dynamic verification.
    6. 6)
      • Hsu, Y.-C., Tabbara, B., Chen, Y.-A., Tsai, F.: `Advanced techniques for RTL debugging', Proc. 40th Design Automation Conf. (40th DAC), 2003, p. 362–367.
    7. 7)
      • Abramovici, M., Bradley, P., Dwarakanath, K., Levin, P., Memmi, G., Miller, D.: `A reconfigurable design-for-debug infrastructure for SoCs', In Proc. 43rd Design Automation Conference (43rd DAC), 2006, p. 7–12.
    8. 8)
      • Accellera Organization, Inc.: ‘Property specification language – reference manual, v.1.1’. available at: www.eda.org/vfv/docs/PSL-v1.1.pdf, 2004.
    9. 9)
      • Abarbanel, Y., Beer, I., Glushovsky, L., Keidar, S., Wolfsthal, Y.: `FoCs: automatic generation of simulation checkers from formal specifications', Proc. 12th Int. Con. on Computer Aided Verification (CAV'00), 2000, p. 538–542.
    10. 10)
      • H. Foster , A. Krolnik , D. Lacey . (2004) Assertion-based design.
    11. 11)
      • D.A. Patterson , J.L. Hennessy . (2007) Computer architecture: a quantitative approach.
    12. 12)
      • Boulé, M., Chenard, J.S., Zilic, Z.: `Adding debug enhancements to assertion checkers for hardware emulation and silicon debug', Proc. 24th IEEE Int. Conf. on Computer Design (ICCD'06), 2006, p. 294–299.
    13. 13)
      • Bouĺe, M., Zilic, Z.: `Incorporating efficient assertion checkers into hardware emulation', Proc. 23rd IEEE Int. Conf. Computer Design (ICCD'05), 2005, p. 221–228.
    14. 14)
      • J. Hopcroft , R. Motwani , J. Ullman . (2000) Introduction to automata theory, languages and computation.
    15. 15)
      • I. Beer , S. Ben-David , C. Eisner , Y. Rodeh . Efficient detection of vacuity in temporal model checking. Formal Methods Syst. Design , 2 , 141 - 163
    16. 16)
      • Borrione, D., Liu, M., Morin-Allory, K., Ostier, P., Fesquet, L.: `On-line assertion-based verification with proven correct monitors', Proc. 3rd ITI Int. Conf. Information and Communications Technology (ICICT 2005), 2005, p. 123–143.
    17. 17)
      • Ruah, S., Fisman, D., Ben-David, S.: `Automata construction for on-the-fly model checking PSL safety simple subset', Technical Report H-0234, IBM, 2005.
    18. 18)
      • Sidhu, R., Prasanna, V.: `Fast regular expression matching using FPGAs', Proc. 9th Annual IEEE Symp. on Field Programmable Custom Computing Machines (FCCM'01), 2001, p. 227–238.
    19. 19)
      • IBM Alpha Works: ‘FoCs property checkers generator’, version 2.03. available at: www.alphaworks.ibm.com/tech/FoCs, 2006.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt_20060209
Loading

Related content

content/journals/10.1049/iet-cdt_20060209
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address