Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Multi-layer floorplanning for reconfigurable designs

Multi-layer floorplanning for reconfigurable designs

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Partial dynamic reconfiguration is an emerging area in field programmable gate arrays (FPGA) designs, which is used for saving device area and cost. In order to reduce the reconfiguration overhead, two consecutive similar sub-designs should be placed in the same locations to get the maximum reuse of common components. This requires that all the future designs be considered while floorplanning for any given design. A comprehensive framework for floorplanning designs on partial reconfigurable architecture is provided. Several reconfiguration-specific floorplanning cost functions and moves that aim to reduce the reconfiguration overhead are introduced. A new multi-layer sequence pair-representation-based floorplanner that allows overlap of static and non-static components of multiple designs and guarantees a feasible overlapping floorplan with minimal area packing is introduced. A new matching algorithm that covers all possible matchings of static blocks during floorplanning for multiple designs is presented. In our experiments, it is shown that the proposed floorplanner gives more than 50% savings in reconfiguration frames compared with the scheme where no reuse is done. Further, compared with a traditional sequential floorplanner, our floorplanner removes infeasibility in many designs, achieves an improvement of clock period by 12% on average and reduces the place and route time significantly. The proposed floorplanner could be used for finding high-quality floorplans for applications that use partial reconfiguration.

References

    1. 1)
      • K. Sankarnarayanan , S. Velusamy , M. Stan , K. Skadron . A case for thermal aware floorplanning at the microarchitectural level. J. Instruction-Level Parallelism , 10
    2. 2)
      • Panainte, E.M., Bertels, K., Vassiliadis, S.: `Compiler-driven FPGA-area allocation for reconfigurable computing', Proc. Design, Automation and Test in Europe 2006 (DATE, 06), March 2006.
    3. 3)
      • Chang, C., Cong, J., Yuan, X.: `Multi-level placement for large-scale mixed-size ic designs', Proc ASP-DAC, 2003, p. 325–330.
    4. 4)
      • Kahng, A.B., Reda, S., Wang, Q.: `Architecture and details of a high quality, large-scale analytical placer', Proc. Int. Conf. on Computer Aided Design (ICCAD), 2005.
    5. 5)
      • Chang, Y.-C., Chang, Y.-W., Wu, G.-M., Wu, S.-W.: `B*-trees: a new representation for non-slicing floorplan', Proc. Design Automation Conf. (DAC), June 2000, p. 458–462.
    6. 6)
      • Dandalis, A., Prasanna, V.K.: `Configuration compression for FPGA-based embedded systems', FPGA'01: Proc. 2001 ACM/SIGDA Ninth Int. Symp. on Field Programmable Gate Arrays, 2001.
    7. 7)
      • Ababei, C., Bazargan, K.: `Non-contiguous linear placement for reconfigurable fabrics', 18thInt. Parallel and Distributed Processing Symp. (IPDPS) – Workshop 3, 2004.
    8. 8)
      • Quinn, H., King, L.A.S., Leeser, M., Meleis, W.: `Runtime assignment of reconfigurable hardware components for image processing pipelines', Proc. FCCM, 2003.
    9. 9)
      • Malik, U., Diessel, O.: `On the placement and granularity of FPGA configurations', IEEE Int. Conf. on Field-Programmable Technology, 2004.
    10. 10)
      • Kasprzyk, N., van der Veen, J., Koch, A.: `Configuration merging for adaptive computer applications', FPL'05: Proc. IEEE Int. Conf. on Field-Programmable Logic and Applications, 2005.
    11. 11)
      • Khatkhate, A., Li, C., Agnihotri, A., Yildiz, M., Ono, S., Koh, C.-K., Madden, P.H.: `Recursive bisection based mixed block placement', Proc. ISPD, 2004, p. 84–89.
    12. 12)
      • Shirazi, N., Luk, W., Cheung, P.: `Automating production of run-time reconfigurable designs', IEEE Symp. on FPGAs for Custom Computing Machines, 1998.
    13. 13)
      • Rullmann, M., Siegel, S., Merker, R.: `Optimization of reconfiguration overhead by algorithmic transformations and hardware matching', Proc. 19th IEEE Int. Symp. on Parallel and Distributed Processing, 2005.
    14. 14)
      • M.R. Garey , D.S. Johnson . (1979) Computers and intractability, a guide to the theory of NP-completeness.
    15. 15)
      • Dittmann, F., Heberling, M.: `Placement of intermodule connections on partially reconfigurable devices', SBCCI'05: Proc. 18th Annual Symp. on Integrated Circuits and System Design, 2005, p. 236–241.
    16. 16)
    17. 17)
    18. 18)
    19. 19)
      • Fekete, S.P., Kohler, E., Teich, J.: `Optimal FPGA module placement with temporal precedence constraints', Proc. IEEE Design Automation and Test in Europe, 2001, p. 658–667.
    20. 20)
      • Singhal, L., Bozorgzadeh, E.: `Physically aware exploitation of component reuse in a partially reconfigurable architecture', Reconfigurable Architecture Workshop (RAW), 2006, Rhodes, Greece.
    21. 21)
      • Tang, X., Wong, D.F.: `FAST-SP: a fast algorithm for block placement based on sequence pair', ASP-DAC'01: Proc. 2001 Conf. on Asia South Pacific Design Automation, 2001.
    22. 22)
      • Banerjee, S., Bozorgzadeh, E., Dutt, N.: `Physically-aware hw-sw partitioning for reconfigurable architectures with partial dynamic reconfiguration', ACM/IEEE Design Automation Conf. (DAC), 2005.
    23. 23)
      • Markov, I.: [Online]. Available: http:\\visicad.eecs.umich.edu/BK/parquet/citaions.html.
    24. 24)
      • Westra, J., Bartels, C., Groeneveld, P.: `Probability congestion prediction', Proc. ACM/SIGDA Int. Symp. on Physical Design (ISPD), 2004, Phoenix, Arizona, p. 204–209.
    25. 25)
      • Panainte, E.M., Bertels, K., Vassiliadis, S.: `FPGA-area allocation for partial run-time reconfiguration', Proc. ProRISC, November 2005, p. 415–420.
    26. 26)
      • Singhal, L., Bozorgzadeh, E.: `Mult-layer floorplanning on a sequence of reconfigurable designs', IEEE Int. Conf. on Field Programmable Logic and Applications (FPL), 2006, Madrid, Spain.
    27. 27)
      • Yuh, P.H., Yang, C.L., Chang, Y.W., Chen, H.L.: `Temporal floorplanning using the t-tree formulation', Proc. IEEE Int. Conf. on Computer Aided Design, 2004, p. 116–121.
    28. 28)
      • Ghiasi, S., Sarrafzadeh, M.: `Optimal reconfiguration sequence management', Asia South Pacific Design Automation Conf. (ASPDAC), 2003.
    29. 29)
      • C. Steiger , H. Walder , M. Platzner . Operating Systems for reconfigurable embedded platforms: online scheduling of real-time tasks. IEEE Trans. Comput. , 11 , 1393 - 1407
    30. 30)
      • Xilinx Inc. [Online]. Available: http://www.xilinx.com.
    31. 31)
      • Mei, B., Schaumont, P., Vernalde, S.: `A hardware-software partitioning and scheduling algorithm for dynamically reconfigurable embedded systems', Proc. ProRisc Workshop CKTS, System Signal Processing, 2000, [Online]. Available: http://www.imec.be/reconfigurable/pdf/prorisc_00_hardware.pdf.
    32. 32)
      • Jeong, B., Yoo, S., Lee, S., Choi, K.: `Hardware-software cosynthesis for run-time incrementally reconfigurable FPGAs', Proc. IEEE Asia South Pacific Design Automation Conf., 2000, p. 169–174.
    33. 33)
      • Noguera, J., Badia, R.M.: `Power-performance trade-offs for reconfigurable computing', Proc. IEEE CODESS-ISSS, 2004.
    34. 34)
      • N. Moreano , E. Borin . Efficient datapath merging for partially reconfigurable architectures. IEEE Trans. Comput. Aided Design Integr. Circuits Syst. , 969 - 980
    35. 35)
      • Hauck, S.: `Configuration pre-fetch for single context reconfigurable processors', IEEE Conf. on Field Programmable Gate Arrays (FPGA), 1998.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt_20070012
Loading

Related content

content/journals/10.1049/iet-cdt_20070012
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address