Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Test methods for crosstalk-induced delay and glitch faults in network-on-chip interconnects implementing asynchronous communication protocols

Test methods for crosstalk-induced delay and glitch faults in network-on-chip interconnects implementing asynchronous communication protocols

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Variations in crosstalk is an added source of delay and glitch faults in System on Chips built with deep sub-micron technology, especially in chips using wide and long buses. Many of these faults, in such sub-micron chips, may only appear when the chip works at normal operating speed. These crosstalk-induced faults are more serious in systems built with Globally Asynchronous Locally Synchronous principles. The authors propose efficient methods for at-speed testing of such faults in asynchronous links connecting, for example, two switches/routers of an network-on-chip communication infrastructure. The proposed delay test method has the property that all faulty chips are identified but some good chips may also be characterised as faulty with a small probability. The authors give an analytical analysis regarding this probability as a function of probability of delay fault and number of applied test instances. A simple and pure digital BIST hardware is also proposed, which is represented at Register Transfer level to implement the delay test method. A method is also proposed for detecting glitches on control lines in a handshaking-based communication link; thereafter it is shown how the method can be extended for detecting glitch faults on data lines. The proposed test methods for detecting delays and glitches provide a complete scheme for detection of crosstalk-induced faults in links in an on-chip communication infrastructure using asynchronous handshaking communication protocols.

References

    1. 1)
      • G.D. Micheli , L. Benini . (2006) Networks on chips.
    2. 2)
      • J.M. Berger . A note on error detection codes for asymmetric channels. Inform. Control , 4 , 68 - 73
    3. 3)
      • Stewart, K., Tragoudas, S.: `Interconnect testing for networks on chip', Proc. VLSI Test Symp., 2006, p. 100–191.
    4. 4)
      • Bengtsson, T., Jutman, A., Kumar, S., Ubar, R.: `Delay testing of asynchronous NoC interconnects', Proc. Int. Conf. Mixed Design of Integrated Circuits and Systems, June 2005.
    5. 5)
      • Beigné, E., Vivet, P.: `Design of on-chip and off-chip interfaces for a GALS NoC architecture', Proc. Int. Symp. Asynchronous Circuits and Systems, 2006, p. 172–183.
    6. 6)
      • Bengtsson, T., Kumar, S., Ubar, R., Jutman, A.: `Off-line testing of crosstalk induced glitch faults in NoC interconnects', Proc. Norchip, Linköping, November 2006, Sweden, p. 221–226.
    7. 7)
      • Grecu, C., Pande, P., Ivanov, A., Saleh, R.: `BIST for network-on-chip interconnect infrastructures', Proc. VLSI Test Symp., 2006, p. 30–35.
    8. 8)
      • J. Nurmi , H. Tenhunen , J. Isoaho , A. Jantsch . (2004) Interconnectcentric design for advanced SoC and NoC.
    9. 9)
      • A. Efthymiou , J. Bainbridge , D. Edwards . Test pattern generation an partial-scan methodology for an asynchronous SoC interconnect. Trans. VLSI Syst. , 12 , 1384 - 1393
    10. 10)
      • S. Murali , T. Theocharides , N. Vijaykrishnan , M.J. Irwin , L. Benini , G.D. Micheli . Analysis of error recovery schemes for networks on chips. Des. Test Comput. , 434 - 442
    11. 11)
      • Kumar, S., Jantsch, A., Millberg, M., ÖBerg, J., Soininen, J.-P., Forsell, M., Tiensyrja, K., Hemani, A.: `A network on chip architecture and design methodology', Proc. Computer Society Annual Symp. VLSI, 2002, Pittsburgh, p. 117–124.
    12. 12)
      • Pamunuwa, D.: `Modelling and analysis of interconnects for deep submicron SoC', 2003, PhD, Royal Institute of Technology, Stockholm.
    13. 13)
      • Amory, A.M., BriãO, E.W., Cota, É., Lubaszewski, M., Moraes, F.G.: `A scalable test strategy for network-on-chip routers', Proc. Int. Test Conf., 2005, Austin, TX, USA.
    14. 14)
      • X. Bai , S. Dey . High-level crosstalk defect simulation methodology for system-on-chip interconnects. Trans. Comput.-Aided Des. Integr. Circuits Syst. , 9 , 1355 - 1361
    15. 15)
      • Hemani, A., Meincke, T., Kumar, S., Postula, A., Olsson, T., Nilsson, P., ÖBerg, J., Ellervee, P., Lundqvist, D.: `Lowering power consumption in clock by using globally asynchronous locally synchronous design style', Proc. DAC99, 1999, p. 873–878.
    16. 16)
      • J. Liu , L.-R. Zheng , D. Pamunuwa , H. Tenhunen . A global wire planning scheme for network-on-chip. Circuits Syst. , IV - 892
    17. 17)
      • Cuviello, M., Dey, S., Bai, X., Zhao, Y.: `Fault modeling and simulation for crosstalk in system-on-chip interconnects', Proc. IEEE/ACM Int. Conf. Computer-Aided Design, 1999, p. 297–303.
    18. 18)
      • P.P. Pande , G.D. Micheli , C. Grecu , A. Ivanov , R. Saleh . Design, synthesis, and test of networks on chips. IEEE Des. Test Comput. , 5 , 404 - 413
    19. 19)
      • B. Vermeulen , J. Dielissen , K. Goossens , C. Ciordas . Bringing communication networks on a chip: test and verification implications. IEEE Commun. Mag. , 9 , 74 - 81
    20. 20)
      • Bai, X., Dey, S., Rajski, J.: `Self-test methodology for at-speed test of crosstalk in chip interconnects', Proc. Design Automation Conf., 2000, p. 619–624.
    21. 21)
      • Bengtsson, T., Jutman, A., Ubar, R., Kumar, S.: `A method for crosstalk fault detection in on-chip buses', Proc. Norchip, 2005, Oulu, Finland, p. 285–288.
    22. 22)
      • A. Jantsch , H. Tenhunen . (2003) Networks on chip.
    23. 23)
      • Nordholz, P., Treytnar, D., Otterstedt, J., Grabiniski, H., Niggemeyer, D., Williams, T.W.: `Signal integrity problems in deep submicrons arising from interconnects between cores', Proc. VLSI Test Symp., 1998, p. 28–33.
    24. 24)
      • Jutman, A.: `At-speed on-chip diagnosis of board-level interconnect faults', Proc. European Test Symp., 2004, France, p. 2–7.
    25. 25)
      • A. Naeemi , J.A. Davis , J.D. Meindl . Compact physical models for multilevel interconnect crosstalk in gigascale integration (GSI). Trans. Electron. Devices , 11 , 1902 - 1912
    26. 26)
      • D. Pamunuwa , S. Elassaad , H. Tenhunen . Modeling delay and noise in arbitrarily coupled RC trees. Trans. Comput.-Aided Des. Integr. Circuits Syst. , 11 , 1725 - 1739
    27. 27)
      • A. Sinha , S.K. Gupta , M.A. Breuer . Validation and test issues related to noise induced by parasitic inductances of VLSI interconnects. Trans. Adv. Packag. , 3 , 329 - 339
    28. 28)
      • L. Benini , G. De Micheli . Networks on chips: a new SoC paradigm. IEEE Comput. , 1 , 70 - 78
    29. 29)
      • Cota, E., Kreutz, M., Zeferino, C.A., Carro, L., Lubaszewski, M., Susin, A.: `The impact of NoC reuse on the testing of core-based systems', Proc. VLSI Test Symp., 2003, p. 128–133.
    30. 30)
      • Bengtsson, T., Jutman, A., Kumar, S., Ubar, R., Peng, Z.: `Off-line testing of delay faults in NoC interconnects', Proc. DSD, 2006.
    31. 31)
      • Y.I. Ismail , E.G. Friedman , J.L. Neves . Figures of merit to characterize the importance of on-chip inductance. IEEE Trans. VLSI Syst. , 4 , 442 - 449
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt_20070048
Loading

Related content

content/journals/10.1049/iet-cdt_20070048
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address