Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Energy efficient i-cache using multiple line buffers with prediction

Energy efficient i-cache using multiple line buffers with prediction

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Modern microprocessors dedicate a large portion of the chip area to the cache. Decreasing the energy consumption of the microprocessor, which is a very important design goal especially for small, battery powered, devices, depends on decreasing the energy consumption of the memory/cache system in the microprocessor. The authors investigate the energy consumption in caches and present a novel cache architecture for reduced energy instruction caches. Our cache architecture consists of the L1 cache, multiple line buffers and a prediction mechanism to predict which line buffer, or L1 cache, to access next. In the proposed technique, the authors use the multiple line buffers as a continuous small filter cache that can catch most of the cache access but they access only a single line buffer, thus reducing the energy consumption of the cache. They used simulation to evaluate the proposed architecture and to compare it with the HotSpot cache, filter cache and single line buffer cache. Simulation results show that the approach is slightly faster than the above mentioned caches, and it consumes considerably less energy than any of these cache architectures.

References

    1. 1)
      • Yang, C.-L., Lee, C.-H.: `HotSpot cache: joint temporal and spatial locality exploitation for I-cache energy reduction', Proc. 2004 Int. Symp. Low Power Electronics and Design ISPLD'04, August 2004, p. 114–119.
    2. 2)
      • Jouppi, N.P.: `Improving direct-mapped cache performance by the addition of a small fully associative cache and prefetch buffers', 17thAnnual Int. Symp. Computer Architecture ISCA, May 1990, p. 364–373.
    3. 3)
      • Z. Zhu , X. Zhang . Access mode prediction for low-power cache design. IEEE Micro , 2 , 58 - 71
    4. 4)
      • Albonesi, D.: `Selective cache ways: on-demand cache resource allocation', Proc. 32nd ACM/IEEE Int. Symp. Microarchitecture, 1999, p. 248–259.
    5. 5)
      • P. Shivakumar , N. Jouppi . (2001) CACTI 3.0: An integrated cache timing, power, and area model’, Technical Report, 2001.2 Compaq Research Lab.
    6. 6)
      • M. Lee , E. Seo , J. Lee , J.-S. Kim . PABC: power-aware buffer cahe management for low power consumption. IEEE Trans. Comput. , 4 , 488 - 501
    7. 7)
      • E. Rotenberg . A trace cache microarchitecture and evaluation. IEEE Trans. Comput. , 2 , 111 - 120
    8. 8)
      • Zhang, C., Vahid, F., Yang, J., Najjar, W.: `A way-halting cache for low-power high-performance systems', Proc. 2004 Int. Symp. Low Power Electronics and Design ISPLD'04, August 2004.
    9. 9)
      • C. Zhang , F. Vahid , W. Najjar . A highly configurable cache for low energy embedded systems. ACM Trans. Embed. Comput. Syst. , 2 , 363 - 387
    10. 10)
      • H. Hanson , M.S. Hrishikesh , V. Agarwal , S.W. Keckler , D. Burger . Static energy reduction techniques for multiprocessor caches. IEEE Trans. Very Large Scale Integr. Syst. , 3 , 303 - 313
    11. 11)
      • Ali, K., Aboelaze, M., Datta, S.: `Predictive line buffer: a fast energy efficient cache architecture', Proc. IEEE Southeast Conf. 2006, January 2006.
    12. 12)
      • Vivekanandarajah, K., Srikanthan, T.: `Custom instruction filter cache synthesis for low-power embedded systems', 16thIEEE Int. Workshop Rapid System Prototyping, (RSP 2005), June 2005, p. 151–157.
    13. 13)
      • Ishihara, T., Fallah, F.: `A non-uniform cache architecture for low power system design', Proc. 2005 Int. Symp. Low Power Electronics and Design, ISLPED '05, August 2005, p. 363–368.
    14. 14)
      • Aly, R.E., Bayoumi, M.A.: `Precharged SRAM cell for ultra low-power on-chip cache', Proc. IEEE Int. SOC Conf., November 2005, p. 95–98.
    15. 15)
      • Y.-J. Chang , F. Lai . Dynamic zero-sensitivity scheme for low-power cache memories. IEEE Micro , 4 , 20 - 32
    16. 16)
      • SPEC CPU2000, available at: www.spec.org.
    17. 17)
      • L. Benini , A. Macii , A. Nannarelli . Code compression architecture for cache energy minimization in embedded systems. IEE Proc. Comput. Digit. Tech. , 4 , 157 - 163
    18. 18)
      • H. Mizuno , K. Ishibashi . A separated bit-line unified cache: Conciliating small on-chip cache die-area and low miss ratio. IEEE Trans. Very Large Scale Integr. Syst. , 1 , 139 - 144
    19. 19)
      • U. Ko , P.T. Balsara , A.K. Nanda . Energy optimization of multilevel cache architecture for RISC and CISC processors. IEEE Trans. Very Large Scale Integr. , 2 , 299 - 308
    20. 20)
      • Chang, Y.-J.: `A new register design for low power TLB and cache', Proc. NORCHIP Conf., November 2005, p. 301–304.
    21. 21)
      • Quershi, M., Patt, Y.: `Utility-based cache partitioning: a low-overhead, high-performance runtime mechanism to partition shared caches', Proc. Annual IEEE/ACM Int. Symp. Microarchitecture, July 2006, p. 423–432.
    22. 22)
      • A. Hasegawa , I. Kawasaki , S. Yoshioka , S. Kawasaki , P. Biswas . SH3: high code density, low power. IEEE Micro , 6 , 11 - 19
    23. 23)
      • Beg, A., Yul, C.: `Improved instruction fetching with a new block-based cache scheme', Proc. Int. Symp. Signals, Circuits and systems ISSCS2005, 14–15 July 2005, 2, p. 765–768.
    24. 24)
      • The Simplescalar simulator, available at: www.simplescalar.com, May 2006.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt_20070055
Loading

Related content

content/journals/10.1049/iet-cdt_20070055
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address