Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Evaluation of low-energy and high-performance processor using variable stages pipeline technique

Evaluation of low-energy and high-performance processor using variable stages pipeline technique

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

A methodology for low‐energy and high-performance computing that is essential in mobile and ubiquitous computing is proposed. The dynamic voltage scaling (DVS) is one of the current major methodologies for low-power devices. However by DVS, the lower the chip voltage becomes in the future, the less energy saving is obtained by DVS. Therefore in order to reduce the energy consumption for lower voltage devices, variable stages pipeline (VSP) processor with the latch D-FF selector (LDS)-cell that unifies pipeline stages dynamically and also decreases energy consumption caused by glitch propagations on a low-energy mode is proposed. With its features, the VSP technique can achieve low-energy computing without any dependence on chip voltage. It is shown that the VSP processor can achieve low-energy computing and higher performance computing than the DVS processor in the low-energy mode by evaluating the proposed approach using SpecINT2000 benchmark suite.

References

    1. 1)
      • Albonesi, D.H.: `Dynamic IPC/clock rate optimization', Proc. 25th Annual Int. Symp. Computer Architecture, 1998, p. 282–292.
    2. 2)
      • Shimada, H., Ando, H., Shimada, T.: `Pipeline stage unification: a low-energy consumption technique for future mobile processors', The Int. Symp. Low Power Electronics and Design 2003, 2003, p. 326–329.
    3. 3)
      • Bahar, R.I., Manne, S.: `A power and energy reduction via pipeline balancing', Proc. 28th Annual Int. Symp. Computer Architecture, 2001, p. 218–229.
    4. 4)
      • Shimada, H., Ando, H., Shimada, T.: `Pipeline stage unification: a low-energy consumption technique for future mobile processors', Symp. Advanced Computing Systems and Infrastructures, 2003, p. 283–290, (Japanese).
    5. 5)
      • Manne, S., Klauser, A., Grunwald, D.: `Pipeline gating: speculation control for energy reduction', Proc. 25th Annual Int. Symp. Computer Architecture, 1998, p. 132–141.
    6. 6)
      • Shimada, H., Ando, H., Shimada, T.: `A hybrid power reduction mechanism using pipeline stage unification and dynamic voltage scaling', Symp. Advanced Computing Systems and Infrastructures, 2005, p. 11–18, (Japanese).
    7. 7)
      • Ichikawa, Y., Sasaki, T., Hironaka, T.: `A design of prototype low energy processor by variable stages pipeline technique', CPSY2004–21, 241, Technical Report of IEICE, 2004, p. 7–12, (Japanese).
    8. 8)
      • Pouwelse, J., Langendoen, K., Sips, H.: `Dynamic voltage scaling on a low-power microprocessor', 7thACM Int. Conf. Mobile Computing and Networking (Mobicom), 2001, p. 251–259.
    9. 9)
      • Musoll, E., Cortadella, J.: `Low-power array multipliers with transition-retaining barriers', Proc. Int. Workshop on Power, Timing Modeling Optimization and Simulation, 1995, p. 227–238.
    10. 10)
      • Canal, R., Gonzalez, A., Smith, J.E.: `Very low power pipelines using significance compression', Proc. 33rd Annual Int. Symp. Microarchitecture, 2000, p. 181–190.
    11. 11)
      • Efthymiou, A., Garside, J.D.: `Adaptive pipeline depth control for processor power–Management', Proc. Int. Conf. Computer Design 2002, 2002, p. 454–457.
    12. 12)
      • McFarling, S.: `Combining branch predictors', Technical Report TN-36, 1993.
    13. 13)
      • Koppanalil, J., Ramrakhyani, P., Desai, S.: `A case for dynamic pipeline scaling', Proc. Int. Conf. Compilers, Architecture, and Synthesis for Embedded Systems 2002, 2002, p. 1–8.
    14. 14)
      • Ichikawa, Y., Sasaki, T., Hironaka, T.: `Low energy consumption by a variable stages pipeline technique', Int. Technical Conf. Circuits/Systems Computers and Communications (ITC-CSCC2004), 2004, 0358, 6C1L-4.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt_20070130
Loading

Related content

content/journals/10.1049/iet-cdt_20070130
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address