Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Reconfigurable broadcast scan compression using relaxation-based test vector decomposition

Reconfigurable broadcast scan compression using relaxation-based test vector decomposition

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

An effective reconfigurable broadcast scan compression scheme that employs partitioning of test sets and relaxation-based decomposition of test vectors is proposed. Given a constraint on the number of tester channels, the technique classifies test sets into acceptable and bottleneck vectors. The bottleneck vectors are then decomposed into a set of vectors that meets the given constraint. The acceptable and decomposed test vectors are partitioned into the smallest number of partitions while satisfying the tester channels constraint to reduce the decompressor area. Thus, the technique by construction satisfies a given tester channel constraint at the expense of an increased test vector count and number of partitions, offering a tradeoff between test compression, the test application time and the area of test decompression circuitry. Experimental results demonstrate that the proposed technique achieves better compression ratios compared with other techniques of test compression.

References

    1. 1)
    2. 2)
      • Tang, H., Reddy, S.M., Pomeranz, I.: `On reducing test data volume and test application time for multiple scan chain designs', ITC ′03: Proc. Int. Test Conf., 2003, p. 1079–1087.
    3. 3)
      • Lee, J., Touba, N.A.: `Low power test data compression based on LFSR reseeding', ICCD04: Proc. IEEE Int. Conf. Computer Design, 2004.
    4. 4)
    5. 5)
    6. 6)
      • Sitchinava, N., Samaranayake, S., Kapur, R., Gizdarski, E., Neuveux, F., Williams, T.W.: `Changing the scan enable during shift', VTS 2004: Proc. 22nd IEEE VLSI Test Symp., 2004.
    7. 7)
      • Culberson J.: ‘Graph Coloring Programs Index’, http://www.cs.ualberta.ca/~joe/Coloring/Colorsrc/index.html, accessed March 2006.
    8. 8)
      • Hamzaoglu, I., Patel, J.H.: `Reducing test application time for built-in-self-test test pattern generators', VTS′00: Proc. 18th IEEE VLSI Test Symp., 2000, Washington, DC, USA, p. 369.
    9. 9)
    10. 10)
      • El-Maleh, A., Al-Suwaiyan, A.: `An efficient test relaxation technique for combinational and full-scan sequential circuits', VTS ′02: Proc. 20th IEEE VLSI Test Symp., 2002, Washington, DC, USA, p. 53.
    11. 11)
    12. 12)
      • Hayashi, T., Yoshioka, H., Shinogi, T., Kita, H., Takase, H.: `Test data compression technique using selective don't-care identification', ASP-DAC ‘04: Proc. 2004 Conf. Asia South Pacific Design Automation, 2004, Piscataway, NJ, USA, p. 230–233.
    13. 13)
    14. 14)
      • M. Tehranipoor , M. Nourani , K. Chakrabarty . Nine-coded compression technique for testing embedded cores in SOCs. IEEE Trans. Large Scale Integr. Syst. , 6 , 719 - 731
    15. 15)
      • Lee, H.K., Ha, D.S.: `On the generation of test patterns for combinational circuits', Technical Report 12-93, 1993.
    16. 16)
      • N.A. Touba . Survey of test vector compression techniques. IEEE Des. Test Comput. , 4 , 294 - 303
    17. 17)
    18. 18)
      • Hamzaoglu, I., Patel, J.H.: `Test set compaction algorithms for combinational circuits', Proc. Int. Conf. Comput.-Aided Des., 1998, p. 283–289.
    19. 19)
    20. 20)
      • Wurtenberger, A., Tautermann, C.S., Hellebrand, S.: `Data compression for multiple scan chains using dictionaries with corrections', ITC ′04: Proc. Int. Test Conf., 2004, Washington, DC, USA, p. 926–935.
    21. 21)
      • Kavousianos, X., Kalligeros, E., Nikolos, D.: `Efficient test-data compression for IP cores using multilevel Huffman coding', DATE ′06: Proc. Conf. Design, Automation and Test in Europe, 3001 Leuven, 2006, Belgium, p. 1033–1038.
    22. 22)
      • El-Maleh, A.: `An efficient test vector compression technique based on block merging', ISCAS ‘06: Proc. Int. Symp. Circuits and Systems, 2006.
    23. 23)
    24. 24)
      • Li, L., Chakrabarty, K., Kajihara, S., Swaminathan, S.: `Efficient space/time compression to reduce test data volume and testing time for IP cores', VLSID05: Proc. 18th Int. Conf. VLSI Design held jointly with 4th Int. Conf. Embedded Systems Design, 2005.
    25. 25)
      • Lange, M.: `Adopting the right embedded compression solution', EE-Evaluation Engineering, 2005, p. 32–40.
    26. 26)
      • Shah, M.A., Patel, J.H.: `Enhancement of the Illinois scan architecture for use with multiple scan inputs', Proc. IEEE Ann. Symp. VLSI, 2004, p. 167–172.
    27. 27)
    28. 28)
      • Jieyi, L., Jianhua, F., Iida, Z., Wenhua, X., Xinan, W.: `A new test data compression/decompression scheme to reduce SOC test time', ASICON 2005: 6th Int. Conf. ASIC, 2005, 2, p. 685–688.
    29. 29)
    30. 30)
      • Samaranayake, S., Gizdarski, E., Sitchinava, N., Neuveux, F., Kapur, R., Williams, T.: `A reconfigurable shared scan-in architecture', VTS′03: Proc. 21st IEEE VLSI Test Symp., April 2003.
    31. 31)
      • Han, Y., Li, X., Swaminathan, S., Hu, Y., Chandra, A.: `Scan data volume reduction using periodically alterable MUXs decompressor', ATS ′05: Proc. 14th Asian Test Symp., 2005, p. 372–377.
    32. 32)
      • Hamzaoglu, I., Patel, J.H.: `Reducing test application time for full scan embedded cores', FTC′99: IEEE Int. Symp. Fault Tolerant Computing, 1999, p. 260–267.
    33. 33)
    34. 34)
      • Ruan, X., Katti, R.: `An efficient data-independent technique for compressing test vectors in systems-on-a-chip', ISVLSI ′06: Proc. IEEE Computer Society Annual Symp. Emerging VLSI Technologies and Architectures, 2006, Washington, DC, USA, p. 153.
    35. 35)
      • El-Maleh, A., Al-Abaji, R.: `Extended frequency-directed run-length codes with improved application to system-on-a-chip test data compression', ICECS'02: Int. Conf. Electronic Circuits Systems, 2002, p. 449–452.
    36. 36)
    37. 37)
      • Shi, Y., Togawa, N., Kimura, S., Yanagisawa, M., Ohtsuki, T.: `FCSCAN: an efficient multiscan-based test compression technique for test cost reduction', ASP-DAC ‘06: Proc. 2006 Conf. Asia South Pacific Design Automation, 2006, New York, NY, USA, p. 653–658.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt_20080012
Loading

Related content

content/journals/10.1049/iet-cdt_20080012
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address