Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Low-distance path-based multicast routing algorithm for network-on-chips

Low-distance path-based multicast routing algorithm for network-on-chips

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

In this study, a low-distance path-based multicast routing algorithm for network-on-chips (NoCs) and multiprocessor systems-on-chip is proposed. The algorithm, which is based on the mesh topology, makes use of network partitioning, optimised destination ordering and the odd–even turn model adaptive routing technique for both the multicast and unicast messages. Additionally, the algorithm invokes non-congested paths in routing the messages to prevent creating highly congested areas. This is achieved by considering the congestion condition of the input ports. The efficiency of the proposed multicast routing algorithm is evaluated by comparing its performance with those of previously proposed algorithms under both multicast and mixed (mixture of unicast and multicast) traffic models. The results show that the proposed technique has lower average delays and lower average and peak power consumptions compared to those of the other path-based multicasting algorithm for different message injection rates. The technique has a hardware overhead of less than 8%.

References

    1. 1)
      • J. Duato , S. Yalamanchili , L.M. Ni . (2003) Interconnection networks: an engineering approach.
    2. 2)
      • Boppana, R.V., Chalasani, S.: `A comparison of adaptive wormhole routing algorithms', Proc. Int. Conf. Computer Architecture, 1993, USA, p. 351–360.
    3. 3)
      • Glass, C.J., Ni, L.M.: `The turn model for adaptive routing', Proc. Int. Conf. Computer Architecture, 1992, USA, p. 278–287.
    4. 4)
      • Malumbres, M.P., Duato, J., Torrellas, J.: `An efficient implementation of tree-based multicast routing for distributed shared-memory multiprocessors', Proc. Int. Conf. SPDP, 1996, New Orlean, USA, p. 186–190.
    5. 5)
      • Carara, E.A., Moraes, F.G.: `Deadlock-free multicast routing algorithm for wormhole-switched mesh networks-on-chip', Proc. Int. Conf. ISVLSI, 2008, France, p. 341–346.
    6. 6)
      • Al-Dubai, A., Romdhani, I.: `A performance study of path based multicast communication algorithms', Proc. Int. Conf. PARELEC, 2006, Bialystok, Poland, p. 245–250.
    7. 7)
      • P. McKinely , H. Xu , A.H. Esfahanian , L. Ni . Unicast-based multicast communication in wormhole-routed networks. IEEE Trans. Parallel Distrib. Syst. , 1252 - 1265
    8. 8)
      • Liang, J., Swaminathan, S., Tessier, R.: `aSOC: a scalable, single-chip communication architectures', Proc. Int. Conf. PACT, 2000, Oregon, USA, p. 37–46.
    9. 9)
      • X. Lin , L.M. Ni . Multicast communication in multicomputer networks. IEEE Trans. Parallel Distrib. Syst. , 1105 - 1117
    10. 10)
      • Cesario, W.O., Lyonnard, L., Nicolescu, G.: `Multiprocessor SoC platforms: a component-based design approach', Proc. Int. Conf. IEEE Design and Test of Computers, 2002, Paris, France, p. 52–63.
    11. 11)
      • G. Chiu . The odd–even turn model for adaptive routing. IEEE Trans. Parallel Distrib. Syst. , 729 - 738
    12. 12)
      • Li, K., Schaefer, R.: `A hypercube shared virtual memory', Proc. Int. Conf., 1989, ICPP, USA, p. 125–132.
    13. 13)
      • L.M. Ni , P.K. McKinley . A survey of wormhole routing techniques in direct networks. IEEE Trans. Comput. , 62 - 76
    14. 14)
      • W.J. Dally , B. Towles . (2005) Principles and practices of interconnection networks.
    15. 15)
      • Rijpkema, E., Goossens, K.G.W., Radulescu, A.: `Trade offs in the design of a router with both guaranteed and best-effort services for networks on chip', Proc. Int. Conf. Design Automation and Test Conf., 2003, Europe, Munich, Germany, p. 350–355.
    16. 16)
      • Wang, H., Zhu, X., Peh, L.S., Malik, S.: `Orion: a power-performance simulator for interconnection network', Proc. Int. Conf. Hot Interconnection, 2002, Stanford, USA, p. 294–305.
    17. 17)
      • Hu, J., Marculescu, R.: `DyAD-smart routing for networks-on-chip', Proc. Int. Conf. DAC, 2004, California, USA, p. 260–263.
    18. 18)
      • D. Panda , S. Singal , R. Kesavan . Multi destination message passing in wormhole k-ary n-cube networks with base routing conformed paths. IEEE Trans. Parallel Distrib. Syst. , 76 - 96
    19. 19)
      • H. Xu , P.K. McKinley , E. Kalns , L.M. Ni . Efficient implementation of barrier synchronization in wormhole-routed hypercube multicomputers. J. Parallel Distrib. Comput. , 172 - 184
    20. 20)
      • F. Harary . (1969) Graph theory.
    21. 21)
      • R.V. Boppana , S. Chalasani , C.S. Raghavendra . Resource deadlock and performance of wormhole multicast routing algorithms. IEEE Trans. Parallel Distrib. Syst. , 535 - 549
    22. 22)
      • Lu, Z., Yin, B., Jantsch, A.: `Connection-oriented multicasting in wormhole-switched networks on chip', Proc. Int. Conf., 2006, ISVLSI, Germany, p. 205–210.
    23. 23)
      • McKinley, P.K., Xu, H., Kalns, E., Ni, L.M.: `CompaSS: efficient communication services for scalable architectures', Proc. Int. Conf., 1992, Supercomputing, Minnesota, USA, p. 478–487.
    24. 24)
      • Concer, N., Petracca, M., Carloni, L.: `Distributed flit-buffer flow control for networks-on-chip', Proc. Int. Conf. CODES+ISSS, 2008, Georgia, USA, p. 215–220.
    25. 25)
      • Azevedo, M., Blough, D.: `Fault-tolerant clock synchronization of large multicomputers via multistep interactive convergence', Proc. Int. Conf. ICDCS, 1996, Hong Kong, p. 249–257.
    26. 26)
      • Jerger, N.E., Peh, L.S., Lipasti, M.H.: `Virtual circuit tree multicasting: a case for on-chip hardware multicast support', Proc. Int. Conf. Computer Architecture, 2008, China, p. 229–240.
    27. 27)
      • Flugham, M.L., Snyder, L.: `Performance of chaos and oblivious routers under non-uniform traffic', UW-CSE-93-06-01, Technical, July 1993, University of Washington.
    28. 28)
      • Mohapatra, P., Varavithya, V.: `A hardware multicast routing algorithm for two-dimensional meshes', Proc. Int. Conf. SPDP, 1996, New Orleans, p. 198–205.
    29. 29)
      • Daneshtalab, M., Pedram, A., Neishaburi, M.H., Mohammadi, S., Afzali-Kusha, A.: `Distributing congestions in nocs through a dynamic routing algorithm based on input and output selections', Proc. Int. Conf. VLSID, 2007, India, p. 546–550.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2008.0086
Loading

Related content

content/journals/10.1049/iet-cdt.2008.0086
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address