Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Design-for-testability-based external test and diagnosis of mesh-like network-on-a-chips

Design-for-testability-based external test and diagnosis of mesh-like network-on-a-chips

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

The study proposes a new concept of test and diagnosis in regular mesh-like network-on-a-chip (NoC) designs. The method is based on functional fault models and it implements packet address driven test configurations. As it will be shown, such configurations can be applied for achieving near-100% structural fault coverage for the network switches. Additionally, a concept of functional switch faults, called link faults, is introduced. The approach is scalable (complexity grows linearly with respect to the number of switches) and it is capable of unambigously pinpointing the faulty links inside the switching network. Current paper also presents a set of design-for-testability (DfT) techniques for the application of test patterns from the external boundary of a NoC. The authors have implemented a parametrisable switching network and developed a set of DfT structures to support testing of network switches using external test configurations. The proposed structures include resource loopback for testing the crossbar multiplexer of the resource connection, a modification to the control part to force YX routing and a compact logic built-in self test (BIST) for the control unit. Experiments show that the proposed structures allow near-100% test coverage at the expense of less than 4% of extra switch area.

References

    1. 1)
      • Dally, W.J., Towles, B.: `Route packets, not wires: on-chip interconnection networks', Proc. Design Automation Conf., June 2001, p. 684–689.
    2. 2)
      • Raik, J., Govind, V., Ubar, R.: `Test configurations for diagnosing faulty links in NoC switches', Proc. ETS, 2007.
    3. 3)
      • Sgroi, M., Sheets, M., Mihal, A.: `Addressing the system-on-a-chip interconnect woes through communication-based design', Proc. DAC 2001, June 2001.
    4. 4)
      • Raik, J., Govind, V., Ubar, R.: `An external test approach for network-on-a-chip switches', Proc. IEEE Asian Test Symp., November 2006, Fukuoka, Japan, p. 437–442.
    5. 5)
      • Wagner, P.T.: `Interconnect testing with boundary scan', Proc. Int. Test Conf. 1987, 1987, p. 52–57.
    6. 6)
      • K. Kariniemi , J. Nurmi . Fault tolerant XGFT network on chip for multi processor system on chip circuits. Proc. FPL , 203 - 210
    7. 7)
      • Hosseinabady, M., Banaiyan, A., Bojnordi, M.N., Navabi, Z.: `A concurrent testing method for NoC switches', Proc. DATE '06, 6–10 March 2006, 1, p. 6.
    8. 8)
      • Bhojwani, P., Mahapatra, R.: `A robust protocol for concurrent on-line test (COLT) of NoC-based systems-on-a-chip', Proc. ACM/IEEE Design Automation Conf. (DAC), 2007.
    9. 9)
      • M. Renovell , J.M. Portal , J. Figueras , Y. Zorian . Testing the interconnect of RAM-based FPGAs. IEEE Des. Test , 1 , 45 - 50
    10. 10)
      • C. Aktouf . A complete strategy for testing an on-chip multiprocessor architecture. IEEE Des. Test Comput. , 18 - 28
    11. 11)
      • K. Goossens , J. Van Meerbergen , A. Peeters . Networks on silicon: combining best-effort and guaranteed services. DATE , 423 - 425
    12. 12)
      • Maxwell, P., Hartanto, I., Bentz, L.: `Comparing functional and structural tests', Proc. Int. Test Conf., 2000, p. 400–407.
    13. 13)
      • http://www.pld.ttu.ee/tt/.
    14. 14)
      • A.M. Amory , E. Brião , É. Cota , M. Lubaszewski , F.G. Moraes . A scalable test strategie for network-on-chip routers. Proc. ITC
    15. 15)
      • Grecu, C., Ivanov, A., Saleh, R., Sogomonyan, E.S., Pande, P.P.: `On-line fault detection and location for NoC interconnects', 12thIEEE IOLTS, July 2006, 6, p. 10–12.
    16. 16)
      • http://techresearch.intel.com/articles/Tera-Scale/1449.htm.
    17. 17)
      • R. Ubar , J. Raik , A. Jantsch , H. Tenhunen . (2003) Testing strategies for networks on chip.
    18. 18)
      • B. Vermeulen , J. Dielissen , K. Goossens . Bringing communication networks on chip: test and verification implications. IEEE Commun. Mag. , 74 - 81
    19. 19)
      • S. Kumar , A. Jantsch , M. Millberg . A network-on-chip architecture and design methodology. Proc. IEEE Comput. Soc.
    20. 20)
      • J. Raik , R. Ubar . Fast test pattern generation for sequential circuits using decision diagram representations. J. Electron. Testing Theory Appl. , 3 , 213 - 226
    21. 21)
      • Makar, S.R., McCluskey, E.J.: `On the testing of multiplexers', Proc. 1988 Int. Test Conf., 12–14 September 1988, Washington, DC, p. 669–679.
    22. 22)
      • M. Millberg , E. Nilsson , R. Thid . Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip. Proc. DATE , 890 - 895
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2008.0096
Loading

Related content

content/journals/10.1049/iet-cdt.2008.0096
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address