Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Test compaction methods for transition faults under transparent-scan

Test compaction methods for transition faults under transparent-scan

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Transparent-scan was proposed as an approach to test generation and test compaction for scan circuits. Its effectiveness was demonstrated earlier in reducing the test application time for stuck-at faults. The authors show that similar advantages exist when considering transition faults. The authors first show that a test sequence under the transparent-scan approach can imitate the application of broadside tests for transition faults. Test compaction can proceed similar to stuck-at faults by omitting test vectors from the test sequence. Two new approaches for enhancing test compaction are described. Under the first approach, additional broadside tests are embedded in the transparent-scan sequence without increasing its length or reducing its fault coverage. This allows additional vectors to be omitted from the test sequence. Under the second approach, the order by which broadside tests appear in the transparent-scan sequence is modified so as to reduce the compacted sequence length. The authors also discuss the generation of several transparent-scan sequences of limited lengths by partitioning the broadside tests among different sequences.

References

    1. 1)
      • Pomeranz, I., Reddy, S.M.: `Vector restoration based static compaction of test sequences for synchronous sequential circuits', Proc. Int. Conf. Computer Design, October 1997, p. 360–365.
    2. 2)
      • Cho, Y., Pomeranz, I., Reddy, S.M.: `Test application time reduction for scan circuits using limited scan operations', Proc. Int. Symp. Quality Electronic Design, April 2004, p. 211–216.
    3. 3)
      • Chen, P.-C., Liu, B.-D., Wang, J.-F.: `Overall consideration of scan design and test generation', Proc. Intl. Conf. Computer-Aided Design, 1992, p. 9–12.
    4. 4)
      • J. Savir , S. Patil . Broad-side delay test. IEEE Trans. Comput.-Aided Des , 8 , 1057 - 1064
    5. 5)
      • Pomeranz, I., Reddy, S.M.: `Test compaction for transition faults under transparent-scan', Proc. Design Autom. and Test in Europe Conf., March 2006.
    6. 6)
      • I. Pomeranz , S.M. Reddy . Forward-looking fault simulation for improved static compaction. IEEE Trans. Comput.-Aided Des. , 10 , 1262 - 1265
    7. 7)
      • Grodstein, J., Bhavsar, D., Bettada, V., Davies, R.: `Automatic generation of critical-path tests for a partial-scan microprocessor', Proc. Intl. Conf. Computer Design, October 2003.
    8. 8)
      • Pomeranz, I., Reddy, S.M.: `A new approach to test generation and test compaction for scan circuits', Proc. Design Autom. and Test in Europe Conf., March 2003, p. 1000–1005.
    9. 9)
      • Pomeranz, I., Reddy, S.M.: `At-speed delay testing of synchronous sequential circuits', Proc. 29th Design Autom. Conf., June 1992, p. 177–181.
    10. 10)
      • Higami, Y., Kajihara, S., Kinoshita, K.: `Reduced scan shift: a new testing method for sequential circuits', Proc. Intl. Test Conf., 1994, p. 624–630.
    11. 11)
      • Lai, W.-J., Kung, C.-P., Lin, C.-S.: `Test time reduction in scan designed circuits', Proc. European Design Autom. Conf., 1993, p. 489–493.
    12. 12)
      • Su, C., Hwang, K.: `A serial scan test vector compression methodology', Proc. Int. Test Conf., 1993, p. 981–988.
    13. 13)
      • Morley, S.P., Marlett, R.A.: `Selected length partial scan: a method to reduce vector length', Proc. Int. Test Conf., 1991, p. 385–392.
    14. 14)
      • Pomeranz, I., Reddy, S.M.: `On improving the stuck-at fault coverage of functional test sequences by using limited-scan operations', Proc. Int. Test Conf., 2001, p. 211–220.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2008.0115
Loading

Related content

content/journals/10.1049/iet-cdt.2008.0115
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address