Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Hybrid NEMS–CMOS integrated circuits: a novel strategy for energy-efficient designs

Hybrid NEMS–CMOS integrated circuits: a novel strategy for energy-efficient designs

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Substantial increase in gate and sub-threshold leakage of complementary metal-oxide-semiconductor (CMOS) devices is making it extremely challenging to achieve energy-efficient designs while continuing their scaling at the same pace as in the past few decades. Designers constantly sacrifice higher levels of performance to limit the ever-increasing leakage power consumption. One possible solution to tackle the leakage issue, which is proposed in this work, is to integrate nano-electro-mechanical switches (NEMS) with CMOS technology. Hybrid NEMS–CMOS technology takes advantage of both near-zero-leakage characteristics of NEMS devices along with high ON current of CMOS transistors. The feasibility of integration of NEMS switches into a CMOS process is illustrated by a practical process flow. Moreover, co-design of hybrid NEMS–CMOS as low-power dynamic OR gates, static random access memory (SRAM) cells and sleep transistors is explored. Simulation results indicate that such hybrid dynamic OR gates can achieve 60–80% lower switching power and almost zero-leakage power consumption with minor delay penalty. However, the hybrid OR gate outperforms its CMOS counterpart both in terms of delay and switching power consumption with increase in fan-in beyond 12. Additionally, it is shown that a hybrid NEMS–CMOS SRAM cell can achieve almost 8× lower standby leakage power consumption with only minor noise margin and latency cost. Finally, application of NEMS devices as sleep transistors results in up to three orders of magnitude lower OFF current with negligible performance degradation as compared to CMOS sleep switches.

References

    1. 1)
    2. 2)
    3. 3)
    4. 4)
    5. 5)
      • K. Banerjee , S.-C. Lin , A. Keshavarzi , S. Narendra , V. De . A self-consistent junction temperature estimation methodology for nanometer scale ICs with implications for performance and thermal management. IEDM Tech. Digest , 887 - 890
    6. 6)
      • H.F. Dadgour , R.V. Joshi , K. Banerjee . A novel variation-aware low-power keeper architecture for wide fan-in dynamic gates. ACM Design Automation Conf. (DAC) , 991 - 996
    7. 7)
      • Borkar, S., Karnik, T., De, V.: `Design and reliability challenges in nanometer technologies', IEEE/ACM Design Automation Conf., 2004, p. 75.
    8. 8)
      • S. Lee , D. Lee , R. Morjan . A three-terminal carbon nano-relay. Nano Lett. , 2027 - 2030
    9. 9)
      • S. Salahuddin , S. Datta . Use of negative capacitance to provide voltage amplification for low power nanoscale devices. Nano Lett. , 405 - 410
    10. 10)
      • K.L. Ekinci , M.L. Roukes . Nanoelectromechanical systems. Rev. Sci. Instrum.
    11. 11)
      • D.J. Wouters , J.P. Colinge , H.E. Maes . Subthreshold slope in thin-film SOI MOSFETs. IEEE Trans. Electron Devices , 2022 - 2033
    12. 12)
      • C. Auth , A. Cappellani , J.-S. Chun . 45 nm high-k+metal-gate strain-enhanced transistors. Intel Technol. J. , 77 - 86
    13. 13)
      • Pott, V., Ionescu, A., Fritschi, R.: `The suspended-gate MOSFET (SG-MOSFET): a modeling outlook for the design of RF MEMS switches and tunable capacitors', Proc. Int. Semiconductor Conf., 2001, p. 137–140.
    14. 14)
      • D.W. Carr , S. Evoy , L. Sekaric , H.G. Craighead , J.M. Parpia . Measurement of mechanical resonance and losses in nanometer scale silicon wires. Appl. Phys. Lett. , 920 - 922
    15. 15)
      • H. Dadgour , A.M. Cassell , K. Banerjee . Scaling and variability analysis of CNT-based NEMS devices and circuits with implications for process design. IEDM Tech. Digest , 529 - 532
    16. 16)
      • T. Rueckes , K. Kim , E. Joselevich , G.Y. Tseng , C.L. Cheung , C.M. Lieber . Carbon nanotube-based nonvolatile random access memory for molecular computing. Science , 94 - 97
    17. 17)
      • J.F. Gong , Z.Y. Xiao , P. Chan . Integration of an RF MEMS resonator with a bulk CMOS process using a low-temperature and dry-release fabrication method. J. Micromech. Microeng. , 20 - 25
    18. 18)
      • N. Abele , R. Fritschi , K. Boucart . Suspended-gate MOSFET: bringing new MEMS functionality into solid-state MOS transistor. IEDM Tech. Digest , 479 - 481
    19. 19)
      • http://www-device.eecs.berkeley.edu/~ptm/mosfet.html.
    20. 20)
      • Ionescu, A.M., Pott, V., Fritschi, R.: `Modeling and design of a low-voltage SOI suspended-gate MOSFET (SG-MOSFET) with a metal-over-gate-architecture', IEEE Int. Symp. Quality Electronic Design, 2002, p. 496–501.
    21. 21)
      • International Technology Roadmap for Semiconductors (ITRS), http://public.itrs.net.
    22. 22)
      • Borkar, S.: `Exponential challenges, exponential rewards – the future of Moore's law', VLSI-SOC, 2003, p. 2.
    23. 23)
      • M. Dequesnes , S.V. Rotkin , N.R. Aluru . Calculation of pull-in voltages for carbon nanotube-based nanoelectromechanical switches. Nanotechnology , 120 - 131
    24. 24)
      • H. Kam , D.T. Lee , R.T. Howe , T.-J. King . A new nano-electro-mechanical field effect transistor (NEMFET) design for low-power electronics. IEDM Tech. Digest , 463 - 466
    25. 25)
      • Hamzaoglu, F., Ye, Y., Keshavarzi, A.: `Dual ', Proc. IEEE Int. Symp. Low Power Electronics and Design, 2000, p. 15–19.
    26. 26)
    27. 27)
      • K. Gopalakrishnan , P.B. Griffin , J.D. Plummer . I-MOS: a novel semiconductor device with a subthreshold slope lower than kT/q. IEDM Tech. Digest , 289 - 292
    28. 28)
      • Dadgour, H.F., Banerjee, K.: `Design and analysis of hybrid NEMS–CMOS circuits for ultra low-power applications', IEEE/ACM Design Automation Conf., 2007, p. 306–311.
    29. 29)
      • S.M. Sze . (1981) Physics of semiconductor devices.
    30. 30)
      • Kaijian, S., Howard, D.: `Challenges in sleep transistor design and implementation in low-power designs', Proc. IEEE/ACM Design Automation Conf., 2006, p. 113–116.
    31. 31)
    32. 32)
    33. 33)
    34. 34)
      • G.E. Moore . Cramming more components onto integrated circuits. Electronics , 114 - 117
    35. 35)
      • Y. Taur , T.H. Ning . (1998) Fundamentals of modern VLSI devices.
    36. 36)
      • Abele, N., Segueni, K., Boucart, K.: `Ultra-low voltage MEMS resonator based on RSG-MOSFET', Proc. 19th IEEE Int. Conf. MEMS, 2006, p. 882–885.
    37. 37)
      • De, V., Borkar, S.: `Technology and design challenges for low power and high performance microprocessors', Proc. IEEE Int. Symp. Low Power Electronics and Design, 1999, p. 163–168.
    38. 38)
      • H.C. Lin , M.H. Lee , C.J. Su , S.W. Shen . Fabrication and characterization of nanowire transistors with solid-phase crystallized poly-si channels. IEEE Trans. Electron Devices , 2471 - 2477
    39. 39)
      • Hornbeck, L.J.: `Digital light processing and MEMS: timely convergence for a bright future', Proc. SPIE Micromachining and Microfabrication Process Technology Conf., 1995, SPIE 2639, p. 1–21.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2008.0148
Loading

Related content

content/journals/10.1049/iet-cdt.2008.0148
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address