Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Fault tolerance and reliability in field-programmable gate arrays

Fault tolerance and reliability in field-programmable gate arrays

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Reduced device-level reliability and increased within-die process variability will become serious issues for future field-programmable gate arrays (FPGAs), and will result in faults developing dynamically during the lifetime of the integrated circuit. Fortunately, FPGAs have the ability to reconfigure in the field and at runtime, thus providing opportunities to overcome such degradation-induced faults. This study provides a comprehensive survey of fault detection methods and fault-tolerance schemes specifically for FPGAs and in the context of device degradation, with the goal of laying a strong foundation for future research in this field. All methods and schemes are quantitatively compared and some particularly promising approaches are highlighted.

References

    1. 1)
      • M. Abramovici , C.E. Stroud . BIST-based delay-fault testing in FPGAs. J. Electron. Test. , 549 - 558
    2. 2)
      • Girard, P., Hron, O., Pravossoudovitch, S., Renovell, M.: `Defect analysis for delay-fault BIST in FPGAs', Int. On-Line Testing Symp., 2003, p. 124–128.
    3. 3)
      • Doumar, A., Ito, H.: `Testing approach within FPGA-based fault tolerant systems', IEEE Asian Test Symp., 2000, p. 411.
    4. 4)
      • S. Lu , F. Yeh , J. Shih . Fault detection and fault diagnosis techniques for lookup table FPGAs. VLSI Des. , 1 , 397 - 406
    5. 5)
      • N.J. Howard , A.M. Tyrrell , N.M. Allinson . The yield enhancement of field-programmable gate arrays. IEEE Trans. VLSI Syst. , 1 , 115 - 123
    6. 6)
      • Harris, I., Tessier, R.: `Diagnosis of interconnect faults in cluster-based FPGA architectures', Int. Conf. on Computer Aided Design, 2000, p. 472–475.
    7. 7)
      • Krasniewski, A.: `Low-cost concurrent error detection for fsms implemented using embedded memory blocks of fpgas', IEEE Design and Diagnostics of Electronic Circuits and Systems, 2006, p. 178–183.
    8. 8)
      • P. Clarke , A. Ray , C. Hogarth . Electromigration – a tutorial introduction. Int. J. Electron. , 3 , 333 - 388
    9. 9)
      • J. Narasimhan , K. Nakajima , C.S. Rim , A.T. Dahbura . Yield enhancement of programmable ASIC arrays by reconfiguration of circuit placements. IEEE Trans. CAD Integ. Circuit Syst. , 8 , 976 - 986
    10. 10)
      • J.M. Emmert , C.E. Stroud , M. Abramovici . Online fault tolerance for FPGA logic blocks. IEEE Trans. VLSI Syst. , 2 , 216 - 226
    11. 11)
      • Alaghi, A., Yarandi, M.S., Navabi, Z.: `An optimum ORA BIST for multiple fault FPGA look-up table testing', Asian Test Symp., 2006, p. 293–298.
    12. 12)
      • Sun, X., Xu, J., Chan, B., Trouborst, P.: `Novel technique for built-in self-test of FPGA interconnects', Int. Test Conf. 2000, 2000, p. 795–803.
    13. 13)
      • D. Esseni , J.D. Bude , L. Selmi . On interface and oxide degradation in VLSI MOSFETs – part I: deuterium effect in CHE stress regime. IEEE Trans. Electron Devices , 2 , 247 - 253
    14. 14)
      • Campregher, N., Cheung, P.Y., Constantinides, G.A., Vasilko, M.: `Analysis of yield loss due to random photolithographic defects in the interconnect structure of FPGAs', ACM Int. Workshop on FPGAs, 2005, p. 138–148.
    15. 15)
      • Carmichael, C.: `Triple module redundancy design techniques for Virtex FPGAs', Xilinx Application Note XAPP197, 2006.
    16. 16)
      • D. Esseni , J.D. Bude , L. Selmi . On interface and oxide degradation in VLSI MOSFETs – part II: Fowler–Nordheim stress regime. IEEE Trans. Electron Devices , 2 , 254 - 263
    17. 17)
      • J.M. Emmert , D.K. Bhatia . A fault tolerant technique for FPGAs. J. Electron. Test. , 6 , 591 - 606
    18. 18)
      • Itazaki, N., Matsuki, F., Matsumoto, Y., Kinoshita, K.: `Built-in self-test for multiple CLB faults of a LUT type FPGA', Asian Test Symp., 1998, p. 272–277.
    19. 19)
      • E. Normand . Single event upset at ground level. IEEE Trans. Nucl. Sci. , 6 , 2742 - 2750
    20. 20)
      • Kelly, J., Ivey, P.: `Defect tolerant SRAM based FPGAs', Int. Conf. on Computer Design, 1994, p. 479–482.
    21. 21)
      • D. Esseni , J.D. Bude , L. Selmi . Fault-tolerant evolvable hardware using field-programmable transistor arrays. IEEE Trans. Reliab. , 3 , 305 - 316
    22. 22)
      • Liu, J., Simmons, S.: `BIST-diagnosis of interconnect fault locations in FPGA's', Canadian Conf. on Electrical and Computer Engineering, 2003, p. 207–210.
    23. 23)
      • Shanthi, A., Parthasarathi, R.: `Exploring FPGA structures for evolving fault tolerant hardware', NASA/DoD Conf. on Evolvable Hardware, 2003, p. 174–181.
    24. 24)
      • DeMara, R.F., Zhang, K.: `Autonomous FPGA fault handling through competitive runtime reconfiguration', NASA/DoD Conf. of Evolution Hardware, 2005.
    25. 25)
      • Girard, P., Hron, O., Pravossoudovitch, S., Renovell, M.: `High quality TPG for delay faults in look-up tables of FPGAs', Int. Workshop on Electronic Design, Test and Applications, 2004.
    26. 26)
      • Lima, F., Carro, L., Reis, R.: `Designing fault tolerant systems into SRAM-based FPGAs', Design Automation Conf., 2003, p. 650–655.
    27. 27)
      • Hanchek, F., Dutt, S.: `Node-covering based defect and fault tolerance methods for increased yield in FPGAs', Int. Conf. on VLSI Design, January 1996, p. 225–229.
    28. 28)
      • Xilinx Inc.: ‘Virtex-5 FPGA configuration user guide’ (vol. v2.5, 2007).
    29. 29)
      • N.R. Shnidman , W.H. Mangione-Smith , M. Potkonjak . On-line fault detection for bus-based field programmable gate arrays. IEEE Trans. VLSI Syst. , 4 , 656 - 666
    30. 30)
      • Wong, J.S.J., Sedcole, P., Cheung, P.Y.K.: `Self-characterization of combinatorial circuit delays in FPGAs', Int. Conf. on Field Programmable Techniques, 2007, p. 17–23.
    31. 31)
      • Berg, M.: `Fault tolerance implementation within SRAM based FPGA designs based upon the increased level of single event upset susceptibility', Int. On-Line Testing Symp., 2006.
    32. 32)
      • Campregher, N., Cheung, P.Y., Vasilko, M.: `BIST based interconnect fault location for FPGAs', Int. Conf. on Field Programmable Logic, 2004, p. 322–332.
    33. 33)
      • Tahoori, M.B.: `Diagnosis of open defects in FPGA interconnect', IEEE Int. Conf. on Field-Programmable Technology, 2002, p. 328–331.
    34. 34)
      • K. Dieter , J. Babcock . Negative bias temperature instability: road to cross in deep submicron silicon semiconductor manufacturing. J. App. Phys. , 1 , 1 - 18
    35. 35)
      • J. Lach , W.H. Mangione-Smith , M. Potkonjak . Enhanced FPGA reliability through efficient run-time fault reconfiguration. Trans. Reliab , 3 , 296 - 304
    36. 36)
      • Stroud, C., Konala, S., Chen, P., Abramovici, M.: `Built-in self-test of logic blocks in FPGAs', VLSI Test Symp., 1996, 14.
    37. 37)
      • J. Neumann . Probabilistic logics and the synthesis of reliable organisms from unreliable components.
    38. 38)
      • Durand, S.: `FPGA with self-repair capabilities', Int. Workshop on Field Programmable Gate Arrays, 1994, p. 1–6.
    39. 39)
      • Steininger, A., Scherrer, C.: `On the necessity of on-line-BIST in safety-critical applications', Int. Symp. on Fault-Tolerant Computing, 1999, p. 208–215.
    40. 40)
      • Alderighi, M., Casini, F., Dangelo, S., Salvi, D., Sechi, G.R.: `A fault-tolerant FPGA-based multistage interconnection network for space applications', IEEE Int. Workshop on Electronic Design, Test and Applications, 2002, p. 302–306.
    41. 41)
      • I. Harris , R. Tessier . Testing and diagnosis of interconnect faults in cluster-based FPGA architectures. IEEE Trans. CAD Integ. Circuits Syst. , 11 , 1337 - 1343
    42. 42)
      • Bolchini, C., Salice, F., Sciuto, D.: `Designing self-checking fpgas through error detection codes', IEEE Inter. Symp. on Defect and Fault Tolerance in VLSI Systems, 2002, p. 60–68.
    43. 43)
      • Campregher, N., Cheung, P.Y.K., Constantinides, G.A., Vasilko, M.: `Reconfiguration and finegrained redundancy for fault tolerance in FPGAs', Int. Conf. on Field Programmable Logic, 2006, p. 455–460.
    44. 44)
      • D'Angelo, S., Metra, C., Sechi, G.: `Transient and permanent fault diagnosis for FPGA-based TMR systems', Int. Symp. on Defect and Fault Tolerance in VLSI Systems, 1999, p. 330–338.
    45. 45)
      • Cheung, K.: `Can TDDB continue to serve as reliability test method for advance gate dielectric?', Int. Conf. on Integrated Circuit Design and Technology, 2004.
    46. 46)
      • J. Lach , W.H. Mangione-Smith , M. Potkonjak . Low overhead fault-tolerant FPGA systems. IEEE Trans. VLSI Syst. , 2 , 212 - 221
    47. 47)
      • Lach, J., Mangione-Smith, W.H., Potkonjak, M.: `Algorithms for efficient runtime fault recovery on diverse FPGA architectures', Int. Symp. on Defect and Fault Tolerance in VLSI Systems, 1999.
    48. 48)
      • Nakamura, Y., Hiraki, K.: `Highly fault-tolerant FPGA processor by degrading strategy', Pacific Rim Int. Symp. on Dependable Computing, 2002, p. 75–78.
    49. 49)
      • M. Hariyama , S. Ogata , M. Kameyama . Multi-context FPGA using floating-gate-MOS functional pass-gates. IEICE Trans. Electron. , 11 , 1655 - 1661
    50. 50)
      • Srinivasan, J., Adve, S.V., Bose, P., Rivers, J.A.: `The impact of technology scaling on lifetime reliability', Int. Conf. on Dependable Systems and Networks, 2004, p. 177–186.
    51. 51)
      • J. Lo , E. Fujiwara . Probability to achieve TSC goal. IEEE Trans. Comput. , 4 , 450 - 460
    52. 52)
      • Xilinx Inc.: ‘Xilinx TMRTool product brief’, 2006.
    53. 53)
      • Mojoli, G., Salvi, D., Sami, M.G., Sechi, G.R., Stefanelli, R.: `KITE: a behavioural approach to fault-tolerance in FPGA-based systems', Int. Workshop on Defect and Fault Tolerance in VLSI Systems, 1996, p. 327–334.
    54. 54)
      • Larchev, G., Lohn, J.: `Evolutionary based techniques for fault tolerant field programmable gate arrays', Int. Conf. on Space Mission Challenges for Information Technology, 2006.
    55. 55)
      • Kelly, J., Ivey, P.: `A novel approach to defect tolerant design for SRAM based FPGAs', Int Workshop on FPGAs, 1994.
    56. 56)
      • G. Adams , D. Agrawal , H. Siegel . A survey and comparision of fault-tolerant multistage interconnection networks. IEEE Comput. , 6 , 30 - 40
    57. 57)
      • J. Smith , T. Xia , C. Stroud . An automated BIST architecture for testing and diagnosing FPGA interconnect faults. J. Electron. Test. Theory Appli. , 3 , 239 - 253
    58. 58)
      • D'Angelo, S., Metra, C., Pastore, S., Pogutz, A., Sechi, G.R.: `Fault-tolerant voting mechanism and recovery scheme for TMR FPGA-based systems', Int. Symp. on Defect and Fault Tolerance in VLSI Systems, 1998, p. 233–240.
    59. 59)
      • C. Gurin , V. Huard , A. Bravaix . The energy-driven hot-carrier degradation modes of nMOSFETs. IEEE Trans. Device Mater. Reliab. , 2 , 225 - 235
    60. 60)
      • Hatori, F., Sakurai, T., Nogami, K.: `Introducing redundancy in field programmable gate arrays', Custom Integrated Circuits Conf., May 1993, p. 7.1.1–7.1.4.
    61. 61)
      • Srinivasan, S., Mangalagiri, P., Xie, Y., Vijaykrishnan, N., Sarpatwari, K.: `FLAW: FPGA lifetime awarenes', Design Automation Conf., 2006, p. 630–635.
    62. 62)
      • Huang, W., Mitra, S., McCluskey, E.J.: `Fast run-time fault location in dependable FPGA-based applications', IEEE Int. Symp. on Defect and Fault Tolerance in VLSI Systems, 2001, p. 206–214.
    63. 63)
      • McClintock, C.: `Redundancy circuitry for logic circuits', U.S., 66 166 559, December 2000.
    64. 64)
      • Stott, E., Sedcole, P., Cheung, P.: `Fault tolerant methods for reliability in FPGAs', Int. Conf on Field Prog. Logic and Apps., September 2008, p. 415–420.
    65. 65)
      • Lakamraju, V., Tessier, R.: `Tolerating operational faults in cluster-based FPGAs', ACM Int. Workshop on FPGAs, 2000.
    66. 66)
      • Abramovici, M., Emmert, J.M., Stroud, C.E.: `Roving STARs: an integrated approach to on-line testing, diagnosis, and fault tolerance for FPGAs', NASA/DoD Workshop on Evolvable Hardware, 2001, p. 73.
    67. 67)
      • C. Ramamoorthy , Y.-W. Han . Reliability analysis of systems with concurrent error detection. IEEE Trans. Comput. , 9 , 868 - 878
    68. 68)
      • Chmelâr, E.: `FPGA interconnect delay fault testing', Int. Test Conf., 2003, 1, p. 1239–1247.
    69. 69)
      • Mitra, S., McCluskey, E.J.: `Which concurrent error detection scheme to choose?', IEEE Int. Test Conf., 2000, p. 985–994.
    70. 70)
      • J. Huang , M.B. Tahoori , F. Lombardi . Fault tolerance of switch blocks and switch block arrays in FPGA. IEEE Trans. VLSI Syst. , 7 , 794 - 807
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2009.0011
Loading

Related content

content/journals/10.1049/iet-cdt.2009.0011
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address