Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Output remapping technique for critical paths soft-error rate reduction

Output remapping technique for critical paths soft-error rate reduction

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

As technology scales, soft errors in deep submicron circuits have become a major reliability concern due to smaller node capacitances and lower supply voltages. It is expected that the soft error rate (SER) of combinational logic will increase significantly. Previous solutions to mitigate soft errors in combinational logic suffer from delay penalty or area/power overhead. The authors proposed here an output remapping technique to reduce SER of critical paths. The SER reduction of our method ranges from 59.2 to 89.8%. This method does not introduce any delay penalty in most cases. The area/power overhead is limited as well. The output remapping method is based on the trade-off between SER and gate delay. The analysis shows that the width of the particle strike induced glitch scales down with technology scaling, which guarantees that output remapping technique works well along with technology scaling.

References

    1. 1)
      • Zhang, M., Shanbhag, N.: `A CMOS design style for logic circuit hardening', Proc. IEEE Int. Reliability Physics Symp., April 2005, p. 223–229.
    2. 2)
      • Mohanram, K.: `Closed-form simulation and robustness models for SEU-tolerant design', VLSI Test Symp., 2005, p. 327–333.
    3. 3)
      • V. Srinivasan , A.L. Sternberg , A.R. Duncan , W.H. Robinson , B.L. Bhuva , L.W. Massengill . Single-event mitigation in combinational logic using targeted data path hardening. IEEE Trans. Nucl. Sci. , 2516 - 2523
    4. 4)
      • M.C. Hansen , H. Yalcin , J.P. Hayes . Unveiling the ISCAS-85 benchmarks: a case study in reverse engineering.
    5. 5)
      • R. Garg , C. Nagpal , S.P. Khatri . A fast, analytical estimator for the SEU-induced pulse width in combinational designs.
    6. 6)
      • N. Seifert , X. Zhu , L.W. Massengill . Impact of scaling on soft-error rates in commercial microprocessors. IEEE Trans. Nucl. Sci. , 3100 - 3106
    7. 7)
      • Nicolaidis, M.: `Time redundancy based soft-error tolerance to rescue nanometer technologies', Proc. VTS, 1999, p. 86–94.
    8. 8)
      • Mohanram, K., Touba, N.A.: `Cost-effective approach for reducing soft error failure rate in logic circuits', Proc. Int. Test Conf. (ITC), September 2003, p. 893–901.
    9. 9)
      • Y.S. Dhillon , A.U. Diril , A. Chatterjee , A.D. Singh . Analysis and optimization of nanometer CMOS circuits for soft-error tolerance. IEEE Trans. Very Large Scale Integ. (VLSI) Syst. , 514 - 524
    10. 10)
      • Rajaraman, R., Kim, J., Vijaykrishnan, N., Xie, Y., Irwin, M.:: `SEAT-LA: a soft error analysis tool for combinational logic', Int. Conf. VLSI Design (VLSID), January 2006, p. 499–502.
    11. 11)
      • Available at http://www.opencelllibrary.org.
    12. 12)
      • R.R. Rao , K. Chopra , D. Blaauw , D. Sylvester . An efficient static algorithm for computing the soft error rates of combinational circuits.
    13. 13)
      • B. Hu , Y. Watanabe , M. Marek-Sadowska . Gain-based technology mapping for discrete-size cell libraries.
    14. 14)
      • Zhao, C., Dey, S.: `Improving transient error tolerance of digital VLSI circuits using robustness compiler', ISQED, 2006, p. 133–140.
    15. 15)
      • A.K. Nieuwland , S. Jasarevic , G. Jerin . Combinational logic soft error analysis and protection.
    16. 16)
      • P. Hazucha , C. Svensson . Impact of CMOS technology scaling on the atmospheric neutron soft error rate. IEEE Trans. Nucl. Sci. , 6 , 2586 - 2594
    17. 17)
      • D. Siewiorek , R. Swarz . (1998) Reliable computer systems: design and evaluation.
    18. 18)
      • P. Shivakumar , M. Kistler , S. Keckler , D. Burger , L. Alvisi . (2002) Modeling the effect of technology trends on the soft error rate of combinational logic.
    19. 19)
      • Joshi, V., Rao, R.R., Blaauw, D., Sylvester, D.: `Logic SER reduction through flipflop redesign', Proc. Int. Symp. Quality Electronic Design (ISQED), 2006, p. 611–616.
    20. 20)
      • Q. Ding , Y. Wang , H. Wang , R. Luo , H. Yang . Output remapping technique for soft-error rate reduction in critical paths.
    21. 21)
      • Q. Zhou , K. Mohanram . Gate sizing to radiation harden combinational logic. IEEE Trans. Comput. Aided Des. Integrated Circuits Syst. , 1 , 155 - 166
    22. 22)
      • Q. Zhou , K. Mohanram . Cost-effective radiation hardening technique for logic circuits.
    23. 23)
      • Zhang, M., Shanbhag, N.: `A soft error rate analysis (SERA) methodology', Int. Conf. Computer-Aided Design (ICCAD), November 2004, p. 111–118.
    24. 24)
      • Y.S. Dhillon , A.U. Diril , A. Chatterjee . Soft-error tolerance analysis and optimization of nanometer circuits.
    25. 25)
      • S. Mitra , N. Seifert , M. Zhang , Q. Shi , K. Kim . Robust system design with built-in soft-error resilience. IEEE Comput. , 2 , 43 - 52
    26. 26)
      • Zhang, B., Orshansky, M.: `FASER: fast analysis of soft error susceptibility for cell based designs', Int. Symp. Quality Electronic Design (ISQED), March 2006, p. 755–760.
    27. 27)
      • F. Wang , Y. Xie , R. Rajaraman , B. Vaidyanathan . Soft error rate analysis for combinational logic using an accurate electrical masking model.
    28. 28)
      • Brglez, F., Fujiwara, H.: `A neural netlist of ten combinational benchmark circuits and translator in Fortran', Int. Symp. Circuits and Systems (ISCAS), June 1985, p. 663–698.
    29. 29)
      • Y. Cao , T. Sato , D. Sylvester , M. Orshansky , C. Hu . New paradigm of predictive MOSFET and interconnect modeling for early circuit design.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2009.0038
Loading

Related content

content/journals/10.1049/iet-cdt.2009.0038
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address