Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Low-power dual-edge triggered state-retention scan flip-flop

Low-power dual-edge triggered state-retention scan flip-flop

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

This study presents a dual-edge triggered static scanable flip-flop suitable for low-power applications. The proposed circuit deploys reduced swing-clock and swing-data to manage dynamic power. Furthermore, it employs clock- and power-gating during idle mode to eliminate dynamic power and reduce static power, while retaining its state. The static structure of the circuit makes it feasible to be used in variable frequency power control designs. HSPICE post-layout simulation conducted for 90 nm complementary metal-oxide semiconductor technology indicates that in addition to state retention and test capability, the proposed design, in terms of power-delay product, device count and leakage power is comparable to other high-performance flip-flops.

References

    1. 1)
      • Chiou, L.-Y., Lou, S.-C.: `An energy-efficient dual-edge triggered level-converting flip-flop', IEEE Int. Symp. on Circuits and Systems, ISCAS, May 2007, p. 1157–1160.
    2. 2)
      • Agarwal, A., Li, H., Roy, K.: `DRG-cache: a data retention gated ground cache for low power', Proc. 39th Design Automation Conf., 2002, p. 473–478.
    3. 3)
      • Zyuban, V., Kosonocky, S.V.: `Low power integrated scan-retention mechanism', Proc. 2002 Int. Symp. on Low Power Electronics and Design, ISPLED, 12–14 August 2002, Monterey, California, USA, p. 98–102.
    4. 4)
      • S. Shigematsu , S. Mutoh , Y. Matsuya , Y. Tanabe , J. Yamada . A 1-V high-speed MTCMOS circuit scheme for power-down application circuits. IEEE J. Solid-State Circuits , 6 , 861 - 869
    5. 5)
      • P. Gupta , A.B. Kahng , P. Sharma , D. Sylvester . Gate-length biasing for runtime-leakage control. IEEE Trans. Comput. Aided-Des. , 8 , 1475 - 1485
    6. 6)
      • S. Goel , M.A. Elgamel , M.A. Bayoumi , Y. Hanafy . Design methodologies for high-performance noise-tolerant XOR-XNOR circuits. IEEE Trans. Circuits Syst. I: Regular Papers , 4 , 867 - 878
    7. 7)
      • Keshavarzi, A., Ma, S., Narendra, S.: `Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs', Proc. 2001 Int. Symp. on Low Power Electronics and Design, ISLPED, August 2001, Huntington Beach, California, US, p. 207–212.
    8. 8)
      • N. Nedović , V.G. Oklobdzija . Dual-edge triggered storage elements and clocking strategy for low-power systems. IEEE Trans. VLSI Syst. , 5 , 577 - 590
    9. 9)
      • Tschanz, J., Narendra, S., Chen, Z., Borkar, S., Sachdev, M., De, V.: `Comparative delay and energy of single edge-triggered and dual edge triggered pulsed flip-flops for high-performance microprocessors', Proc. 2001 Int. Symp. Low on Power Electronics and Design, ISPLED, 2001, Huntington Beach, California, USA, p. 147–152.
    10. 10)
      • International Technology Roadmap for Semiconductors. Available at http://public.itrs.net.
    11. 11)
      • P. Zhao , T. Darwish , M. Bayoumi . High-performance and low power conditional discharge flip-flop. IEEE Trans. VLSI Syst. , 5 , 477 - 484
    12. 12)
      • F. Ishihara , F. Sheikh , B. Nikolic . Level conversion for dual-supply systems. IEEE Trans. VLSI Syst. , 2 , 185 - 95
    13. 13)
      • Zhao, W., Cao, Y.: `New generation of predictive technology model for sub-45 nm design exploration', Proc. Seventh Int. Symp. on Quality Electronic Design, ISQED, March 2006, Washington, DC, p. 585–590.
    14. 14)
      • P. Zhao , J. McNeely , P. Golconda , M.A. Bayoumi , R.A. Barcenas , W. Kuang . Low-power clock branch sharing double-edge triggered flip-flop. IEEE Trans. VLSI Syst. , 3 , 338 - 345
    15. 15)
      • V. Kursun , E.G. Friedman . (2006) Multi-voltage CMOS circuit design.
    16. 16)
      • S.H. Rasouli , A. Khademzadeh , A. Afzali-Kusha , M. Nourani . Low power single- and double-edge-triggered flip-flops for high speed applications. IEE Proc. Circuits Dev. Syst. , 2 , 118 - 122
    17. 17)
      • Liu, Z., Kursun, V.: `New MTCMOS flip-flops with simple control circuitry and low leakage data retention capability', 14thIEEE Int. Conf. on Electronics, Circuits and Systems, ICECS, December 2007, p. 1276–1279.
    18. 18)
      • Vesterbacka, M.: `A robust differential scan flip-flop', Proc. IEEE Int. Symp. Circuits and Systems., ISCAS, May–June 1999, Orlando, Florida, I, p. 334–337.
    19. 19)
      • M.-W. Phyu , W.-L. Goh , K.-S. Yeo . Low-power/high-performance explicit-pulsed flip-flop using static latch and dynamic pulse generator. IEE Proc. Circuits, Dev. Syst. , 3 , 253 - 260
    20. 20)
      • V.G. Oklobdzija . Clocking and clocked storage elements in a multi-gigahertz environment. IBM J. Res. Dev. , 5 , 567 - 584
    21. 21)
      • T. Enomoto , Y. Oka , H. Shikano . A self-controllable voltage level (SVL) circuit and its low-power high-speed CMOS circuit applications. IEEE J. Solid-State Circuits , 7 , 1220 - 1226
    22. 22)
      • V. Stojanovic . Comparative analysis of master-slave latches and flip-flops for high-performance and low-power systems. IEEE J. Solid-State Circuits , 4 , 536 - 548
    23. 23)
      • Magma Design Tool. Available at http://www.magma-da.com.
    24. 24)
      • Kao, J., Chandrakasan, A.P.: `MTCMOS sequential circuits', Proc. 27th European Solid-State Circuits Conf. (ESSCIRC2001), September 2001, p. 317–320.
    25. 25)
      • S. Henzler , G. Georgakos , M. Eireiner . Dynamic state-retention flip-flop for fine-grained power gating with small design and power overhead. IEEE J. Solid-State Circuits , 7 , 1654 - 1661
    26. 26)
      • S.D. Naffziger , G. Colon-Bonet , T. Fischer , R. Riedlinger , T.J. Sullivan , T. Grutkowski . The implementation of the Itanium 2 microprocessor. IEEE J. Solid-State Circuits , 11 , 1448 - 1460
    27. 27)
      • Usami, K., Kawabe, N., Koizumi, M., Seta, K., Furusawa, T.: `Automated selective multi-threshold design for ultra-low standby applications', Proc. 2002 Int. Symp. on Low Power Electronics and Design, ISLPED, 12–14 August 2002, Monterey, California, USA, p. 202–206.
    28. 28)
      • N. Weste , D. Harris . (2004) CMOS VLSI design.
    29. 29)
      • Mahmoodi-Meimand, H., Roy, K.: `Self precharging flip-flop (SPFF): a new level-converting flip-flop', Proc. 28th European Solid-State Circuits Conf., ESSCIRC, September 2002, p. 407–410.
    30. 30)
      • B.H. Calhoun , F.A. Honore , A.P. Chandrakasan . A leakage reduction methodology for distributed MTCMOS. IEEE J. Solid-State Circuits , 5 , 818 - 826
    31. 31)
      • K. Roy , S. Mukhopadhyay , H. Mahmoodi-Meimand . Leakage current mechanisms and leakage reduction techniques in deep-submicron CMOS circuits. Proc. IEEE , 2 , 305 - 327
    32. 32)
      • S.-D. Shin , B.-S. Kong . Variable sampling window flip-flop for low power high-speed VLSI. IEE Proc. Circuits Dev. Syst. , 3 , 266 - 271
    33. 33)
      • Powell, M., Yang, S.-H., Falsafi, B., Roy, K., Vijaykumar, T.N.: `Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories', Proc. 2000 Int. Symp. on Low Power Electronics and Design, ISLPED, July 2000, Rapallo, Italy, p. 90–95.
    34. 34)
      • B.-S. Kong , S.-S. Kim , Y.-H. Jun . Conditional-capture flip-flop for statistical power reduction. IEEE J. Solid-State Circuits , 8 , 1263 - 1271
    35. 35)
      • B. Stackhouse , S. Bhimji , C. Bostak . A 65 nm 2-billion transistor quad-core Itanium processor. IEEE J. Solid-State Circuits , 1 , 18 - 31
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2009.0059
Loading

Related content

content/journals/10.1049/iet-cdt.2009.0059
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address