Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Microprocessor system applications and challenges for through-silicon-via-based three-dimensional integration

Microprocessor system applications and challenges for through-silicon-via-based three-dimensional integration

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Promise of form-factor reduction and hybrid process integration by three-dimensional (3D)-stacked integrated circuits (3DICs) has spurred interest in both academia and industry. In this study, through-silicon-via (TSV)-based 3D integration is discussed from a microprocessor centric view. The authors present the challenges faced by technology scaling and provide 3D integration as a possible solution. The applications for 3DICs are discussed with details of a few prototypes. The issues and challenges associated with 3D integration technologies are also addressed. TSV-based 3D integration technology will allow integration of diverse functionality to realise energy-efficient and affordable compact systems that will continue to deliver higher performance.

References

    1. 1)
    2. 2)
      • Vangal, S., Howard, J., Ruhl, G.: `An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS', JSSC, January 2008.
    3. 3)
      • Barth, J., Reohr, W., Parries, P.: `A 500 MHz random cycle 1.5 ns-latency, SOI embedded DRAM macro featuring a 3 T micro sense amplifier', Proc. ISSCC, February 2007, p. 486–487.
    4. 4)
      • Cheng, L., Deng, L., Wong, M.: `Floorplanning for 3D VLSI design', Proc. ASP-DAC, 2005, p. 405–411.
    5. 5)
      • Sun, J., Lu, J., Giuliano, D.: `3D power delivery for microprocessors and high-performance ASICs', Proc. Applied Power Electronics Conf., 2007, p. 127–133.
    6. 6)
      • Fazio, A.: `A 130 nm flash+logic+analog modular technology', Proc. Int. Symp. on VLSI Technology, Systems, and Applications, 2003, p. 60–63.
    7. 7)
      • Van der Plas, G., Limaye, P., Mercha, A.: `Design issues and considerations for low-cost 3D TSV IC technology', Proc. ISSCC, 2010, p. 148–149.
    8. 8)
      • T. Karnik , P. Hazucha , G. Schrom . (2009) Power delivery to silicon, Integrated interconnect technologies for 3D nanoelectronic systems.
    9. 9)
      • Dong, X., Xie, Y.: `System-level cost analysis and design exploration for three-dimensional integrated circuits (3D ICs)', Proc. ASP-DAC, 2009, p. 234–241.
    10. 10)
    11. 11)
      • Xie, Y.: `Processor architecture design using 3D integration technology', Proc. 23rd Int. Conf. on VLSI Design, 2010, p. 446–451.
    12. 12)
      • Sapatnekar, S.: `Addressing thermal and power delivery bottlenecks in 3D circuits', Proc. ASP-DAC, 2009, p. 423–428.
    13. 13)
    14. 14)
      • Lee, H., Choi, Y., Song, E.: `Power delivery network design for 3D SIP integrated over silicon interposer platform', Proc. Electronic Components and Technology Conf., 2007, p. 1193–1198.
    15. 15)
      • Hoskote, Y., Vangal, S., Howard, J.: `Teraflop prototype processor with 80 cores', Proc. Hot Chips Conf., 2007.
    16. 16)
      • Hung, W., Link, G., Xie, Y.: `Interconnect and thermal-aware floorplanning for 3D microprocessors', Proc. ISQED, 2006, p. 101–104.
    17. 17)
      • Onizuka, K., Inagaki, K., Kawaguchi, H.: `Stacked-chip implementation of on-chip buck converter for power-aware distributed power supply systems', Proc. Asian Solid-State Circuits Conf., 2006, p. 127–130.
    18. 18)
    19. 19)
      • Saito, H., Nakajima, M., Okamoto, T.: `A chip-stacked memory for on-chip SRAM-rich SoCs and processors', Proc. ISSCC, February 2009, p. 60–61, 61a.
    20. 20)
      • JEDEC JEP158: ‘3D chip stack with through-silicon vias (TSVS): identifying, evaluating and understanding reliability interactions’, November 2009.
    21. 21)
      • Newman, M., Muthukumar, S., Schuelein, M.: `Fabrication and electrical characterization of 3D vertical interconnects', Proc. 56th Electronic Components and Technology Conf., 2006.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2009.0126
Loading

Related content

content/journals/10.1049/iet-cdt.2009.0126
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address