Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Functional broadside tests for embedded logic blocks

Functional broadside tests for embedded logic blocks

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

When a logic block is embedded in a larger design, the input sequences applicable to it may be constrained by other logic blocks in the design. This has an impact on what would constitute overtesting of the logic block by scan-based tests. This study defines functional broadside tests that avoid overtesting for an embedded block based on functional broadside tests for the larger design. The definition is constructive and results in a procedure for generating the tests. This study compares these tests with ones generated for the logic block as a stand-alone circuit. The results demonstrate that it is important to consider in the discussion of overtesting the extent to which the functionality of an embedded logic block is utilised as a part of the design. Under certain conditions it is possible to apply to the logic block functional broadside tests that were generated for it as a stand-alone circuit in order to maximise the fault coverage without overtesting, and reduce the computational complexity of test generation.

References

    1. 1)
      • Syal, M., Chandrasekar, K., Vimjam, V.: `A study of implication based pseudo functional testing', Proc. Int. Test Conf., 2006.
    2. 2)
      • http://www.cbl.ncsu.edu:16080/benchmarks/ISCAS89.
    3. 3)
      • Rearick, J.: `Too much delay fault coverage is a bad thing', Proc. Int. Test Conf., 2001, p. 624–633.
    4. 4)
    5. 5)
      • Polian, I., Fujiwara, F.: `Functional constraints vs. test compression in scan-based delay testing', Proc. Design, Autom. and Test in Europe Conf., 2006, p. 1–6.
    6. 6)
      • Sde-Paz, S., Salomon, E.: `Frequency and power correlation between at-speed scan and functional tests', Proc. Int. Test Conf., 2008, p. 1–9, Paper 13.3.
    7. 7)
      • http://www.cbl.ncsu.edu:16080/benchmarks/LGSynth91/.
    8. 8)
      • http://www.cad.polito.it/downloads/tools/itc99.html.
    9. 9)
      • Saxena, J., Butler, K.M., Jayaram, V.B.: `A case study of IR-drop in structured at-speed testing', Proc. Int. Test Conf., 2003, p. 1098–1104.
    10. 10)
      • Vedula, V.M., Abraham, J.A.: `FACTOR: a hierarchical methodology for functional test generation and testability analysis', Proc. Design, Autom. and Test in Europe Conf., 2002, p. 730–734.
    11. 11)
    12. 12)
    13. 13)
    14. 14)
      • Pomeranz, I., Reddy, S.M.: `On reset based functional broadside tests', Proc. Design Automation and Test in Europe Conf., March 2010, p. 1438–1443.
    15. 15)
      • Jas, A., Chan, Y.-S., Chang, Y.-S.: `An approach to minimizing functional constraints', Proc. Defect and Fault Tolerance in VLSI Systems, 2006, p. 215–226.
    16. 16)
      • Zhang, Z., Reddy, S.M., Pomeranz, I.: `On generating pseudo-functional delay fault tests for scan designs', Proc. Int. Symp. on Defect and Fault Tolerance in VLSI Systems, 2005, p. 398–405.
    17. 17)
      • Pomeranz, I.: `Built-in generation of functional broadside tests', Proc. Design Autom. and Test in Europe Conf., March 2011.
    18. 18)
    19. 19)
      • Lin, Y.-C., Lu, F., Yang, K., Cheng, K.-T.: `Constraint extraction for pseudo-functional scan-based delay testing', Proc. Asia and South Pacific Design Autom. Conf., 2005, p. 166–171.
    20. 20)
      • Pomeranz, I.: `On the generation of scan-based test sets with reachable states for testing under functional operation conditions', Proc. Design Autom. Conf., 2004, p. 928–933.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2011.0163
Loading

Related content

content/journals/10.1049/iet-cdt.2011.0163
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address