System-level power consumption modeling and tradeoff analysis techniques for superscalar processor design | IEEE Journals & Magazine | IEEE Xplore