Bounded potential slack: Enabling time budgeting for dual-Vt allocation of hierarchical design | IEEE Conference Publication | IEEE Xplore