AVS-aware power-gate sizing for maximum performance and power efficiency of power-constrained processors | IEEE Conference Publication | IEEE Xplore