Learning-based power management for multi-core processors via idle period manipulation | IEEE Conference Publication | IEEE Xplore