Power supply noise-aware workload assignments for homogeneous 3D MPSoCs with thermal consideration | IEEE Conference Publication | IEEE Xplore