Dark silicon-aware hardware-software collaborated design for heterogeneous many-core systems | IEEE Conference Publication | IEEE Xplore