Clock power minimization using structured latch templates and decision tree induction | IEEE Conference Publication | IEEE Xplore