FPGA implementation of a configurable cache/scratchpad memory with virtualized user-level RDMA capability | IEEE Conference Publication | IEEE Xplore