Whitespace-aware TSV arrangement in 3D clock tree synthesis | IEEE Conference Publication | IEEE Xplore