Multiple clock domain synchronization for network on chip architectures | IEEE Conference Publication | IEEE Xplore