On the combined input-crosspoint buffered switch with round-robin arbitration | IEEE Journals & Magazine | IEEE Xplore