Hybrid Scratchpad Video Memory Architecture for Energy-Efficient Parallel HEVC | IEEE Journals & Magazine | IEEE Xplore