All-digital delay-locked loop for 3D-IC die-to-die clock synchronization | IEEE Conference Publication | IEEE Xplore