skip to main content
10.1145/1084834.1084864acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Efficient behavior-driven runtime dynamic voltage scaling policies

Published:19 September 2005Publication History

ABSTRACT

Power consumption has long been a limiting factor in microprocessor design. In seeking energy efficiency solutions, dynamic voltage/frequency scaling (DVFS), a technique to vary voltage/frequency on the fly, has emerged as a powerful and practical power/energy reduction technique that exploits computation slack due to relaxed deadlines and memory accesses. DVFS has been implemented in some modern processors such as Intel XScale and Transmeta Crusoe. Hence the bulk of research efforts have been devoted to developing policies to detect slack and pick appropriate V/f assignments such that the energy is minimized while meeting performance requirements. Since slack is a product of memory accesses and relaxed deadlines, the number of instances and the duration of available slack are highly dependent on the runtime program behavior. Runtime DVFS policies must take into consideration program characteristics in order to achieve significant energy savings. In this paper, we characterize program behavior and classify programs in terms of the memory access behavior. We propose a runtime DVFS policy that takes into consideration the characteristics of program behavior for each category. Then we examine the efficiency of the proposed DVFS policies by comparing with previously derived upper bounds of energy savings. Results show that the proposed runtime DVFS policies approach the upper bounds of energy savings in most cases.

References

  1. Advanced Micro Devices Corporation. AMD-K6 processor mobile tech docs, 2002. http://www.amd.com.Google ScholarGoogle Scholar
  2. A. Andrei, M. Schmitz, P. Eles, Z. Peng, and B. M. Al-Hashimi. Overhead-conscious voltage selection for dynamic and leakage energy reduction of time-constrained systems. In DATE '04: Proceedings of the conference on Design, automation and test in Europe, page 10518, Washington, DC, USA, 2004. IEEE Computer Society. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A framework for architectural-level power analysis and optimizations. In Proceedings of the 27th International Symposium on Computer Architecture, June 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. T. Burd and R. Brodersen. Design issues for dynamic voltage scaling. In Proceedings of International Symposium on Low Power Electronics and Design (ISLPED-00), June 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. D. Burger, T. M. Austin, and S. Bennett. Evaluating future microprocessors: the SimpleScalar tool set. Tech. Report TR-1308, Univ. of Wisconsin-Madison Computer Sciences Dept., July 1996.Google ScholarGoogle Scholar
  6. K. Choi, R. Soma, and M. Pedram. Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pages 18--28, Jan 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Y. Chou, B. Fahs, and S. Abraham. Microarchitecture optimizations for exploiting memory-level parallelism. In Proceedings of the 31st annual international symposium on Computer architecture (ISCA04), page 76. IEEE Computer Society, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. S. Ghiasi, J. Casmira, and D. Grunwald. Using IPC variation in workloads with externally specified rates to reduce power consumption. In Workshop on Complexity-Effective Design, June 2000.Google ScholarGoogle Scholar
  9. R. Hankins, T. Diep, M. Annavaram, B. Hirano, H. Eric, H. Nueckel, and J. Shen. Scaling and characterizing database workloads: Bridging the gap between research and practice. In Proceedings of the 36th International Symposium on Microarchitecture, page 76, Washington, DC, USA, December 2003. IEEE Computer Society. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. C. Hsu and U. Kremer. The design, implementation, and evaluation of a compiler algorithm for CPU energy reduction. In Proceedings of ACM SIGPLAN Conference on Programming Languages, Design, and Implementation (PLDI'03), June 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Intel Corp. Intel XScale (tm) Core Developer's Manual, 2003. http://developer.intel.com/design/intelxscale/.Google ScholarGoogle Scholar
  12. T. Ishihara and H. Yasuura. Voltage scheduling problem for dynamically variable voltage processors. In International Symposium on Low Power Electronics and Design (ISLPED-98), pages 197--202, August 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. R. Jejurikar and R. Gupta. Energy aware task scheduling with task synchronization for embedded real time systems. In Proceedings of the international conference on Compilers, architecture, and synthesis for embedded systems, pages 164-169, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. J. Lorch and A. Smith. Improving dynamic voltage algorithms with PACE. In Proceedings of the International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS 2001), June 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. Luo and N. K. Jha. Power-profile driven variable voltage scaling for heterogeneous distributed real-time embedded systems. In Int. Conf. VLSI design, Jan. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. D. Marculescu. On the use of microarchitecture-driven dynamic voltage scaling. In Workshop on Complexity-Effective Design, June 2000.Google ScholarGoogle Scholar
  17. P. Pillai and K. G. Shin. Real-time dynamic voltage scaling for low-power embedded operating systems. In Proceedings of the 18th ACM Symp. on Operating Systems Principles, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. A. Sinha and A. Chandrakasan. Dynamic voltage scheduling using adpative filtering of workload traces. In Proceedings of the 14th International Conference on VLSI Design, Jan 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. V. Swaminathan, C. Schweizer, K. Chakrabarty, and A. Patel. Experiences in implementing an energy-driven task scheduler in rt-linux. In Proceedings of the Eighth IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'02)., page 229, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. The Standard Performance Evaluation Corporation. WWW Site. http://www.specbench.org, 2000.Google ScholarGoogle Scholar
  21. Transmeta Corporation. Crusoe processor documentation, 2002. http://www.transmeta.com.Google ScholarGoogle Scholar
  22. M. Weiser, B. Welch, A. Demers, and S. Shenker. Scheduling for reduced cpu energy. In the 1st Symposuim on Operating Systems Design and Implementation (OSDI-94), pages 13--23, 1994.Google ScholarGoogle Scholar
  23. A. Weissel and F. Bellosa. Process cruise control: event-driven clock scaling for dynamic power management. In CASES '02: Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems, pages 238--246, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. F. Xie, M. Martonosi, and S. Malik. Compile-time dynamic voltage scaling settings: Opportunities and limits. In Proceedings of ACM SIGPLAN Conference on Programming Languages, Design, and Implementation (PLDI'03), June 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. F. Xie, M. Martonosi, and S. Malik. Bounds on power savings using runtime dynamic voltage/frequency scaling: An exact algorithm and a linear-time heuristic approximation. In International Symposium on Low Power Electronics and Design (IS LPED-05), August 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Y. Zhang, X. Hu, and D. Chen. Energy minimization of real-time tasks on variable voltage processors with transition energy overhead. In Proceedings of the ASP-DAC 2003 Design Automation Conference, pages 65-70, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Efficient behavior-driven runtime dynamic voltage scaling policies

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          CODES+ISSS '05: Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
          September 2005
          356 pages
          ISBN:1595931619
          DOI:10.1145/1084834

          Copyright © 2005 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 19 September 2005

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • Article

          Acceptance Rates

          CODES+ISSS '05 Paper Acceptance Rate50of200submissions,25%Overall Acceptance Rate280of864submissions,32%

          Upcoming Conference

          ESWEEK '24
          Twentieth Embedded Systems Week
          September 29 - October 4, 2024
          Raleigh , NC , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader