skip to main content
10.1145/1178597.1178600acmconferencesArticle/Chapter ViewAbstractPublication PagesmspConference Proceedingsconference-collections
Article

Reliability-aware data placement for partial memory protection in embedded processors

Published:22 October 2006Publication History

ABSTRACT

Low cost protection of embedded systems against soft errors has recently become a major concern. This issue is even more critical in memory elements that are inherently more prone to transient faults. In this paper, we propose a reliability aware data placement technique in order to partially protect embedded memory systems. We show that by adopting this method instead of traditional placement schemes with complete memory protection, an acceptable level of fault tolerance can be achieved while incurring less area and power overhead. In this approach, each variable in the program is placed in either protected or non-protected memory area according to the profile-driven liveness analysis of all memory variables. In order to measure the level of fault coverage, we inject faults into the memory during the course of program execution in a Monte Carlo simulation framework. Subsequently, we calculate the coverage of partial protection scheme based on the number of protected, failed and crashed runs during the fault injection experiment.

References

  1. T. Austin, E. Larson, and D. Ernst. Simplescalar: An infrastructure for computer system modeling. Computer, 35(2):59--67, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. R. Baumann. The impact of technology scaling on soft error rate performance and limits to the efficacy of error correction. In Proc. of the Int'l Digest of Electron Devices Meeting, pages 329--332, 2002.Google ScholarGoogle ScholarCross RefCross Ref
  3. D. C. Bossen and M. Y. Hsiao. A system solution to the memory soft error problem. IBM Journal of Research and Development, 24(3):390--397, 1980.Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. B. Calder, C. Krintz, S. John, and T. Austin. Cache-conscious data placement. In Proc. of the eighth international conference on Architectural support for programming languages and operating systems, pages 139--149, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. G. C. Cardarilli, A. Leandri, P. Marinucci, M. Ottavi, S. Pontarelli, M. Re, and A. Salsano. Design of fault tolerant solid state mass memory. IEEE Transactions on Reliability, 52(4):476--491, 2003.Google ScholarGoogle ScholarCross RefCross Ref
  6. C. L. Chen and M. Y. Hsiao. Error-correcting codes for semiconductor memory applications: A state-of-the-art-review. IBM Journal of Research and Development, 28(2):124--134, 1984.Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. G. Chen, M. Kandemir, M. J. Irwin, and G. Memik. Compiler-directed selective data protection against soft errors. In ASP-DAC '05: Proceedings of the 2005 conference on Asia South Pacific design automation, pages 713--716, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. K. Constantinides, S. Plaza, J. Blome, B. Zhang, V. Bertacco, S. Mahlke, T. Austin, and M. Orshansky. Assessing SEU vulnerability via circuit-level timing analysis. In Proc. of the 1st Workshop on Architectural Reliability (WAR-1), November 2005.Google ScholarGoogle Scholar
  9. N. Derhacobian, V. A. Vardanian, and Y. Zorian. Embedded memory reliability: the SER challenge. In Rec. of Int'l Workshop on Memory Tech., Design and Testing, pages 104--110, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. E. Dupont, M. Nicolaidis, and P. Rohr. Embedded robustness ips for transient-error-free ICs. IEEE Design & Test of Computers, 19(3):54--68, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. S. Ghosh, N. A. Touba, and S. Basu. Reducing power consumption in memory ECC checkers. In Int'l Test Conference, pages 1322--1331, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M. R. Guthaus, J. S. Ringenberg, D. Ernst, T. M. Austin, T. Mudge, and R. B. Brown. Mibench: A free, commercially representative embedded benchmark suite. In IEEE Int'l Workshop on Workload Characterization(WWC-4), pages 3--14, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. C. Lee, M. Potkonjak, and W. H. Mangione-Smith. Mediabench: a tool for evaluating and synthesizing multimedia and communicatons systems. In MICRO 30: Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, pages 330--335, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. A. M. Saleh, J. J. Serrano, and J. H. Patel. Design of fault tolerant solid state mass memory. IEEE Transactions on Reliability, 39(1):114--122, 1990.Google ScholarGoogle ScholarCross RefCross Ref
  15. M. L. Seidl and B. G. Zorn. Predicting references to dynamically allocated object. Technical report cu-cs-826-97, department of computer science, university of colorado, boulder, co, January 1997.Google ScholarGoogle Scholar
  16. M. L. Seidl and B. G. Zorn. Segregating heap objects by reference behavior and lifetime. In Proc. of the eighth international conference on Architectural support for programming languages and operating systems, pages 12--23, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. S. Shyam, K. Constantinides, S. Phadke, V. Bertacco, and T. Austin. Ultra low-cost defect protection for microprocessor pipelines. In Proc. of the 12th Int'l Conference on Architectural Support for Programming Languages and Operating Systems(ASPLOS'06), October 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. F. Vargas and M. Nicolaidis. SEU-tolerant SRAM design based on current monitoring. In Proc. Of 24th Int'l Symposium on Fault-Tolerant Computing, 1994.Google ScholarGoogle ScholarCross RefCross Ref
  19. J. Yan and W. Zhang. Compiler-guided register reliability improvement against soft errors. In EMSOFT '05: Proceedings of the 5th ACM international conference on Embedded software, pages 203--209, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Reliability-aware data placement for partial memory protection in embedded processors

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        MSPC '06: Proceedings of the 2006 workshop on Memory system performance and correctness
        October 2006
        114 pages
        ISBN:1595935789
        DOI:10.1145/1178597

        Copyright © 2006 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 22 October 2006

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        Overall Acceptance Rate6of20submissions,30%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader