skip to main content
article

Ultra-fast and efficient algorithm for energy optimization by gradient-based stochastic voltage and task scheduling

Authors Info & Claims
Published:01 September 2007Publication History
Skip Abstract Section

Abstract

This paper presents a new technique, called Adaptive Stochastic Gradient Voltage-and-Task Scheduling (ASG-VTS), for power optimization of multicore hard realtime systems. ASG-VTS combines stochastic and energy-gradient techniques to simultaneously solve the slack distribution and task reordering problem. It produces very efficient results with few mode transitions. Our experiments show that ASG-VTS reduces number of mode transitions by 4.8 times compared to traditional energy-gradient-based approaches. Also, our heuristic algorithm can quickly find a solution that is as good as the optimal for a real-life GSM encoder/decoder benchmark. The runtime of ASG-VTS is 150 times and 1034 times faster than energy-gradient based and optimal ILP algorithms, respectively. Since the runtime of ASG-VTS is very low, it is ideal for design space exploration in system-level design tools. We have also developed a web-based interface for ASG-VTS algorithm.

References

  1. Abdi, S., Peng, J., Yu, H., Shin, D., Gerstlauer, A., Doemer, R., and Gajski, D. 2003. System-on-Chip Environment (SCE Version 2.2.0 Beta): Tutorial. Tech. rep. CECS-TR-03-41, CECS, University of California Irvine.Google ScholarGoogle Scholar
  2. Andrei, A., Schmitz, M., Eles, P., Peng, Z., and Al-Hashimi, B. 2005. Overhead-conscious voltage selection for dynamic and leakage energy reduction of time-constrained systems. IEE Proceedings---Computers and Digital Techniques 152, 1, 28--38.Google ScholarGoogle ScholarCross RefCross Ref
  3. Andrei, A., Schmitz, M., Eles, P., Peng, Z., and Al-Hashimi, B. M. 2004. Overhead-conscious voltage selection for dynamic and leakage energy reduction of time-constrained systems. In Proceedings of DATE. IEEE Computer Society, Los Alamitos, CA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Bambha, N. K., Bhattacharyya, S. S., Teich, J., and Zitzler, E. 2001. Hybrid global/local search strategies for dynamic voltage scaling in embedded multiprocessors. In Proceedings of CODES. ACM Press, New York, NY, 243--248. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Cai, L., Gerstlauer, A., and Gajski, D. 2003. Retargetable profiling for rapid, early system-level design space exploration. Tech. rep. CECS-TR-04-04, CECS, University of California Irvine. October.Google ScholarGoogle Scholar
  6. Dick, R. P. and Jha, N. K. 1999. MOCSYN: Multiobjective core-based single-chip system synthesis. In Proceedings of Design, Automation and Test in Europe. IEEE Computer Society, Los Alamitos, CA, 263. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. European Telecommunication Standards Institute (ETSI). 1996. Digital cellular telecommunications system; enhanced full rate (EFR) speech transcoding (GSM 06.60).Google ScholarGoogle Scholar
  8. Gajski, D. D., Zhu, J., Dömer, R., Gerstlauer, A., and Zhao, S. 2000. SpecC: Specification Language and Methodology. Kluwer Academic Publishers, Boston, MA.Google ScholarGoogle Scholar
  9. Garey, M. R. and Johnson, D. S. 1979. Computers and Intractability: A Guide to the Theory of NP-Completeness. W. H. Freeman and Company, New York, NY. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Gorjiara, B. 2004. http://www.ece.uci.edu/~bgorjiar.Google ScholarGoogle Scholar
  11. Gorjiara, B., Bagherzadeh, N., and Chou, P. 2004. An efficient voltage scaling algorithm for complex socs with few number of voltage modes. In Proceedings of ISLPED. IEEE Computer Society, Los Alamitos, CA, 381--386. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Gorjiara, B., Chou, P., Bagherzadeh, N., Jensen, D., and Reshadi, M. 2004. Fast and efficient voltage scheduling by evolutionary slack distribution. In Proceedings of ASP-DAC. IEEE Computer Society, Los Alamitos, CA, 381--386. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Gruian, F. and Kuchcinski, K. 2001. LEneS: Task scheduling for low-energy systems using variable supply voltage processors. In Proceedings of ASP-DAC. ACM Press, New York, NY, 449--455. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Intel. 2007. Intel XScale microarchitecture. http://developer.intel.com/design/intelxscale.Google ScholarGoogle Scholar
  15. Jejurikar, R. and Gupta, R. 2004. Dynamic voltage scaling for system-wide energy minimization in real-time embedded systems. In Proceedings of ISLPED. IEEE Computer Society, Los Alamitos, CA, 78--81. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Leung, L.-F., Tsui, C.-Y., and Ki, W.-H. 2004. Minimizing energy consumption of multiple-processor-core systems with simultaneous task allocation, scheduling and voltage assignment. In Proceedings of ASPDAC. ACM Press, New York, NY. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Luo, J. and Jha, N. K. 2003. Power-profile driven variable voltage scaling for heterogeneous distributed real-time embedded systems. In Proceedings of International Conference on VLSI Design (VLSI'03). IEEE Computer Society, Los Alamitos, CA, 369--375. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Martin, S. M., Flautner, K., Mudge, T., and Blaauw, D. 2002. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessor under dynamic workload. In Proceedings of ICCAD. ACM Press, New York, NY, 721--725. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Schmitz, M. and Al-Hashimi, B. 2001. Considering power variations of DVS processing elements for energy minimisation in distributed systems. In Proceedings of ISSS. ACM Press, New York, NY, 250--255. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Schmitz, M. T., Al-Hashimi, B. M., and Eles, P. 2002. Energy-efficient mapping and scheduling for DVS enabled distributed embedded systems. In Proceedings of DATE. IEEE Computer Society, Los Alamitos, CA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Schmitz, M. T., Al-Hashimi, B. M., and Eles, P. 2004. System-Level Design Techniques for Energy-Efficient Embedded Systems. Kluwer Academic Publishers, Buston, MA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Spall, J. C. 2003. Introduction to Stochastic Search and Optimization. John Wiley & Sons, Inc., New York. NY. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. von Weymarn, M. 2001. Development of a specification model of the EFR vocoder. Tech. rep. ICS-TR-01-35, University of California Irvine.Google ScholarGoogle Scholar
  24. Zhang, Y., Hu, X. S., and Chen, D. Z. 2002. Task scheduling and voltage selection for energy minimization. In Proceedings of DAC. ACM Press, New York, NY. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Zhang, Y., Hu, X. S., and Chen, D. Z. 2003. Energy minimization of real-time tasks on variable voltage processors with transition energy overhead. In Proceedings of ASP-DAC03. ACM Press, New York, NY, 65--70. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Ultra-fast and efficient algorithm for energy optimization by gradient-based stochastic voltage and task scheduling

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader