skip to main content
10.1145/1361096.1361109acmconferencesArticle/Chapter ViewAbstractPublication PagesscopesConference Proceedingsconference-collections
research-article

Fast cycle-approximate instruction set simulation

Published:13 March 2008Publication History

ABSTRACT

Instruction set simulators are indispensable tools in both ASIP design space exploration and the software development and optimisation process for existing platforms. Despite the recent progress in improving the speed of functional instruction set simulators cycle-accurate simulation is still prohibitively slow for all but the most simple programs. This severely limits the applicability of cycle-accurate simulators in the performance evaluation of complex embedded applications. In this paper we present a novel approach, namely the prediction of cycle counts based on information gathered during fast functional simulation and prior training. We have evaluated our approach against a cycle-accurate ARM v5 architecture simulator and a large set of benchmarks. We demonstrate it is capability of providing highly accurate performance predictions with an average error of less than 5.8% at a fraction of the time for cycle-accurate simulation.

References

  1. S. Amarasinghe. StreamIt - Benchmarks. http://cag.csail.mit.edu/streamit/shtml/benchmarks.shtml, 2007.Google ScholarGoogle Scholar
  2. ARC. ARC VTOC Tool. http://www.arc.com/software/simulation/vtoc.html, 2007.Google ScholarGoogle Scholar
  3. T. M. Austin. Pointer-intensive benchmark suite. http://www.cs.wisc.edu/~austin/ptr-dist.html, 2007.Google ScholarGoogle Scholar
  4. T. M. Austin, S. E. Breach, and G. S. Sohi. Efficient detectopn of all pointer and array access errors. Technical report, University of Wisconsin, 1993.Google ScholarGoogle Scholar
  5. J. R. Bammi, E. Harcourt, W. Kruijtzer, L. Lavagno, and M. T. Lazarescu. Software performance estimation strategies in a system-level design tool. In Proceedings of CODES'00, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. G. Bontempi and W. Kruijtzer. A data analysis method for software performance prediction. In Proceedings of DATE'02, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. P. C. Diniz. A compiler approach to performance prediction using empirical-based modeling. Lecture Notes in Computer Science, 2659, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. C. Dubach, J. Cavazos, B. Franke, M. O'Boyle, G. Fursin, and O. Temam. Fast compiler optimisation evaluation using code-feature based performance prediction. In Proceedings of Computing Frontiers'07, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. L. Eeckhout, S. Nussbaum, J. E. Smith, and K. D. Bosschere. Statistical simulation: Adding efficiency to the computer designer's toolbox. IEEE Micro, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. J. Gustafsson. The WCET tool challenge 2006. In Proceedings of the 2nd International Symposium on Leveraging Applications of Formal Methods (ISOLA'06), 2007.Google ScholarGoogle Scholar
  11. K. Hoste, A. Phansalkar, L. Eeckhout, A. Georges, L. K. John, and K. D. Bosschere. Performance prediction based on inherent program similarity. In Proceedings of PACT'06, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. C.-H. Hsu and U. Kremer. IPERF: A framework for automatic construction of performance prediction models. In Proceedings of the Workshop on Profile and Feedback-Directed Compilation (PFDC'98), 1998.Google ScholarGoogle Scholar
  13. Intel. Intel StrongARM SA-1100 Microprocessor - Developer's Manual. http://www.intel.com, 1999.Google ScholarGoogle Scholar
  14. P. Joseph, K. Vaswani, and M. J. Thazhuthaveetil. Construction and use of linear regression models for processor performance analysis. In Proceedings of the 12th International Symposium on High-Performance Computer Architecture (HPCA), 2006.Google ScholarGoogle ScholarCross RefCross Ref
  15. T. Kempf, K. Karuri, S. Wallentowitz, G. Ascheid, R. Leupers, and H. Meyr. A SW performance estimation framework for early system-level-design using fine-grained instrumentation. In Proceedings of DATE'06, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. T. Kisuki, P. Knijnenburg, and M. O'Boyle. Combined selection of tile sizes and unroll factors using iterative compilation. In Proceedings of PACT'00, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. B. C. Lee and D. M. Brooks. Accurate and efficient regression modeling for microarchitectural performance and power prediction. In Proceedings of ASPLOS'06, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. C. Lee. MediaBench. http://euler.slu.edu/~fritts/mediabench/mb1/, 2007.Google ScholarGoogle Scholar
  19. C. Lee, M. Potkonjak, and W. Mangione-Smith. Media-Bench: A tool for evaluating and synthesizing multimedia and communications systems. In Proceedings of the 30th Annual IEEE/ACM International Symposium on Microarchitecture, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. C. G. Lee. UTDSP benchmark suite. http://www.eecg.toronto.edu/~corinna/DSP/infrastructure/UTDSP.html, 1998.Google ScholarGoogle Scholar
  21. A. Nohl, G. Braun, O. Schliebusch, R. Leupers, H. Meyr, and A. Hoffmann. A universal technique for fast and flexible instruction-set architecture simulation. In DAC '02: Proceedings of the 39th Conference on Design Automation, pages 22--27, New York, NY, USA, 2002. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. M. S. Oyamada, F. Zschornack, and F. R. Wagner. Accurate software performance estimation using domain classification and neural networks. In Proceedings of SBCCI'04, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. W. Qin. SimIt-ARM. http://simit-arm.sourceforge.net, 2007.Google ScholarGoogle Scholar
  24. W. Qin and S. Malik. Flexible and formal modeling of microprocessors with application to retargetable simulation. In Proceedings of Design Automation & Test in Europe (DATE), 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. M. Reshadi, N. Bansal, P. Mishra, and N. Dutt. An efficient retargetable framework for instruction-set simulation. In Proceedings of CODES+ISSS'03, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. M. Reshadi, P. Mishra, and N. Dutt. Instruction set compiled simulation: A technique for fast and flexible instruction set simulation. In Proceedings of the 2003 Design Automation Conference (DAC), 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. M. Reshadi, P. Mishra, and N. Dutt. Hybrid compiled simulation: An efficient technique for instruction-set architecture simulation. ACM Transactions on Embedded Computing Systems (TECS), 2007. To appear. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. W. Snyder, P. Wasson, and D. Galbi. Verilator. http:\\www.veripool.com\verilator.html, 2007.Google ScholarGoogle Scholar
  29. N. Topham and D. Jones. High speed CPU simulation using JIT binary translation. In Proceedings of MoBS -- Workshop on Modeling, Benchmarking and Simulation, 2007.Google ScholarGoogle Scholar
  30. S. J. Weber, M. W. Moskewicz, M. Gries, C. Sauer, and K. Keutzer. Fast cycle-accurate simulation and instruction set generation for constraint-based descriptions of programmable architectures. In Proceedings of CODES+ISSS'04, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. V. Zivojnovic, J. Martinez, C. Schläger, and H. Meyr. DSP-stone: A DSP-Oriented Benchmarking Methodology. In Proceedings of ICSPAT'94, 1994.Google ScholarGoogle Scholar

Index Terms

  1. Fast cycle-approximate instruction set simulation

                    Recommendations

                    Comments

                    Login options

                    Check if you have access through your login credentials or your institution to get full access on this article.

                    Sign in
                    • Published in

                      cover image ACM Conferences
                      SCOPES '08: Proceedings of the 11th international workshop on Software & compilers for embedded systems
                      March 2008
                      88 pages
                      ISBN:9781450378437
                      DOI:10.1145/1361096
                      • General Chair:
                      • Heiko Falk

                      Copyright © 2008 ACM

                      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                      Publisher

                      Association for Computing Machinery

                      New York, NY, United States

                      Publication History

                      • Published: 13 March 2008

                      Permissions

                      Request permissions about this article.

                      Request Permissions

                      Check for updates

                      Qualifiers

                      • research-article

                      Acceptance Rates

                      Overall Acceptance Rate38of79submissions,48%

                    PDF Format

                    View or Download as a PDF file.

                    PDF

                    eReader

                    View online with eReader.

                    eReader