skip to main content
research-article

SUPERB: Simulator utilizing parallel evaluation of resistive bridges

Published:28 August 2009Publication History
Skip Abstract Section

Abstract

A high-performance resistive bridging fault simulator SUPERB (Simulator Utilizing Parallel Evaluation of Resistive Bridges) is proposed. It is based on fault sectioning in combination with parallel-pattern or parallel-fault multiple-stuck-at simulation. It outperforms a conventional interval-based resistive bridging fault simulator by three orders of magnitude while delivering identical results. Further competing tools are outperformed by several orders of magnitude. Industrial-size circuits, including a multi-million-gates design, could be simulated with runtimes within an order of magnitude of the runtimes for pattern-parallel stuck-at fault simulation.

References

  1. Aitken, R. C. 1995. Finding defects with fault models. In Proceedings of the International Test Conference, 498--505. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Banerjee, P. and Abraham, J. A. 1985. A multivalued algebra for modeling physical failures in mos vlsi circuits. IEEE Trans. Comput.-Aid. Des. 4, 5, 312--321.Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Chang, Y.-S., Gupta, S. K., and Breuer, M. A. 1999. Test generation for ground bounce in internal logic circuitry. In Proceedings of the VLSI Test Symposium, 95--104. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Chen, G., Reddy, S. M., Pomeranz, I., Rajski, J., Engelke, P., and Becker, B. 2005. An unified fault model and test generation procedure for interconnect opens and bridges. In Proceedings of the European Test Symposium, 22--27. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Cheung, H. and Gupta, S. K. 2007. Accurate modeling and fault simulation of byzantine resistive bridges. In Proceedings of the IEEE International Conference on Computer Design, 347--353.Google ScholarGoogle Scholar
  6. Engelke, P., Braitling, B., Polian, I., Renovell, M., and Becker, B. 2007. SUPERB: Simulator utilizing parallel evaluation of resistive bridges. In Proceedings of the Asian Test Symposium, 433--438. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Engelke, P., Polian, I., Renovell, M., and Becker, B. 2006a. Automatic test pattern generation for resistive bridging faults. J. Electron. Testing: Theory Appl. 22, 1, 61--69. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Engelke, P., Polian, I., Renovell, M., and Becker, B. 2006b. Simulating resistive bridging and stuck-at faults. IEEE Trans. Comput.-Aid. Des. 25, 10, 2181--2192. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Engelke, P., Polian, I., Schlöffel, J., and Becker, B. 2008. Resistive bridging fault simulation of industrial circuits. In Proceedings of the Conference on Design, Automation and Test in Europe, 628--633. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Favalli, M., Dalpasso, M., Olivo, P., and Riccò, B. 1993. Analysis of dynamic effects of resistive bridging faults in CMOS and BiCMOS digital ICs. In Proceedings of the International Test Conference, 865--873. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Ferguson, F. J. and Larrabee, T. 1991. Test pattern generation for realistic bridge fault in CMOS ICs. In Proceedings of the International Test Conference, 492--499. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Ferguson, F. J. and Shen, J. 1988. Extraction and simulation of realistic CMOS faults using inductive fault analysis. In Proceedings of the International Test Conference, 475--484.Google ScholarGoogle Scholar
  13. Greenstein, G. S. and Patel, J. H. 1992. E-PROOFS: A CMOS bridging fault simulator. In Proceedings of the International Conference on CAD, 268--271. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Grimaila, M. R., Lee, S., Dworak, J., Butler, K. M., Stewart, B., Balachandran, H., Houchins, B., Mathur, V., Park, J., Wang, L.-C., and Mercer, M. R. 1999. REDO-random excitation and deterministic observation--first commercial experiment. In Proceedings of the VLSI Test Symposium, 268--274. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Hao, H. and McCluskey, E. J. 1991. Resistive shorts within CMOS gates. In Proceedings of the International Test Conference, 292--301. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Hawkins, C. F., Soden, J., Righter, A., and Ferguson, F. J. 1994. Defect classes: An overdue paradigm for CMOS IC testing. In Proceedings of the International Test Conference, 413--425. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Khare, J. and Maly, W. 1996. From Contamination to Defects, Faults and Yield Loss. Kluwer Academic Publisher. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Konuk, H., Ferguson, F. J., and Larrabee, T. 1995. Accurate and efficient fault simulation of realistic CMOS network breaks. In Proceedings of the ACM IEEE Design Automation Conference, 345--351. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Krsti, A., Jiang, Y. M., and Cheng, K.-T. 2001. Pattern generation for delay testing and dynamic timing analysis considering power-supply noise effects. IEEE Trans. Comput.-Aid. Des. 20, 3, 416--425. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Lee, C. and Walker, D. M. H. 2000. PROBE: A PPSFP simulator for resistive bridging faults. In Proceedings of the VLSI Test Symposium. 105--110. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Li, Z., Lu, X., Qiu, W., Shi, W., and Walker, D. M. H. 2003. A circuit-level fault model for resistive bridges. ACM Trans. Design Autom. Electron. Syst. 8, 4, 546--559. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Liao, Y. and Walker, D. M. H. 1996. Fault coverage analysis for physically-based CMOS bridging faults at different power supply voltages. In Proceedings of the International Test Conference, 767--775. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Ma, S. C., Franco, P., and McCluskey, E. J. 1995. An experimental chip to evaluate test techniques experimental results. In Proceedings of the International Test Conference, 663--672. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Maeda, T. and Kinoshita, K. 2000. Precise test generation for resistive bridging faults of CMOS combinational circuits. In Proceedings of the International Test Conference, 510--519. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Maly, W. 1987. Realistic fault modeling for VLSI testing. In Proceedings of the ACM IEEE Design Automation Conference, 173--180. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Maxwell, P. C. and Aitken, R. C. 1993. Biased voting: A method for simulating CMOS bridging faults in the presence of variable gate logic thresholds. In Proceedings of the International Test Conference, 63--72. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Mei, K. C. Y. 1974. Bridging and stuck-at faults. IEEE Trans. Comput.-Aid. Des. C-23, 7, 720--727. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Millman, S. D. and Garvey, S. J. P. 1991. An accurate bridging fault test pattern generator. In Proceedings of the International Test Conference, 411--418. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Mitra, D., Bhattacharjee, S., Sur-Kolay, S., Bhattacharya, B. B., Zachariah, S. T., and Kundu, S. 2006. Test pattern generation for power supply droop faults. In Proceedings of the VLSI Design Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Nourani, M., Tehranipour, M., and Ahmed, N. 2005. Pattern generation and estimation for power-supply noise analysis. In Proceedings of the VLSI Test Symposium. 439--444. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Polian, I., Engelke, P., Renovell, M., and Becker, B. 2005a. Modeling feedback bridging faults with non-zero resistance. J. Electron. Testing: Theory Appl. 21, 1, 57--69. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Polian, I., Kundu, S., Galliere, J.-M., Engelke, P., Renovell, M., and Becker, B. 2005b. Resistive bridge fault model evolution from conventional to ultra deep submicron technologies. In Proceedings of the VLSI Test Symposium. 343--348. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Polian, I., Pomeranz, I., Reddy, S. M., and Becker, B. 2004. On the use of maximally dominating faults in n-detection test generation. IEE Proc. Comput. Digital Techn. 151, 3, 235--244.Google ScholarGoogle ScholarCross RefCross Ref
  34. Rearick, J. and Patel, J. H. 1993. Fast and accurate CMOS bridging fault simulation. In Proceedings of the International Test Conference, 54--62. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Reddy, S. M., Pomeranz, I., and Kajihara, S. 1997. Compact test sets for high defect coverage. IEEE Trans. Comput.-Aid. Des. 16, 923--930. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Renovell, M., Azaïs, F., and Bertrand, Y. 1999. Detection of defects using fault model-oriented test sequences. J. Electron. Testing: Theory Appl. 14, 13--22. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Renovell, M., Huc, P., and Bertrand, Y. 1994. CMOS bridge fault modeling. In Proceedings of the VLSI Test Symposium, 392--397.Google ScholarGoogle Scholar
  38. Renovell, M., Huc, P., and Bertrand, Y. 1995. The concept of resistance interval: A new parametric model for resistive bridging fault. In Proceedings of the VLSI Test Symposium, 184--189. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. Rodríguez-Montañés, R., Bruls, E. M. J. G., and Figueras, J. 1992. Bridging defects resistance measurements in a CMOS process. In Proceedings of the International Test Conference, 892--899. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Sar-Dessai, V. and Walker, D. M. H. 1998. Accurate fault modeling and fault simulation of resistive bridges. In Proceedings of the International Symposium Defect and Fault Tolerance in VLSI Systems, 102--107. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Sar-Dessai, V. and Walker, D. M. H. 1999. Resistive bridge fault modeling, simulation and test generation. In Proceedings of the International Test Conference, 596--605. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. Sengupta, S., Kundu, S., Chakravarty, S., Paravathala, P., Galivanche, R., Kosonocky, G., Rodgers, M., and Mak, T. M. 1999. Defect-based test: A key enabler for successful migration to structural test. Intel Technol. J. 1.Google ScholarGoogle Scholar
  43. Shinogi, T., Kanbayashi, T., Yoshikawa, T., Tsuruoka, S., and Hayashi, T. 2001. Faulty resistance sectioning technique for resistive bridging fault ATPG systems. In Proceedings of the Asian Test Symposium, 76--81. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. Spica, M., Tripp, M., and Roeder, R. 2001. A new understanding of bridge defect resistances and process interactions from correlating inductive fault analysis predictions to empirical test results. In Proceedings of the IEEE International Workshop on Current and Defect-Based Testing. 11--16.Google ScholarGoogle Scholar
  45. Vierhaus, H., Meyer, W., and Gläser, U. 1993. CMOS bridges and resistive transistor faults: IDDQ versus delay effects. In Proceedings of the International Test Conference, 83--91. Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. Zachariah, S. T. and Chakravarty, S. 2000. A scalable and efficient methodology to extract two node bridges from large industrial circuits. In Proceedings of the International Test Conference, 750--759. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. SUPERB: Simulator utilizing parallel evaluation of resistive bridges

              Recommendations

              Comments

              Login options

              Check if you have access through your login credentials or your institution to get full access on this article.

              Sign in

              Full Access

              • Published in

                cover image ACM Transactions on Design Automation of Electronic Systems
                ACM Transactions on Design Automation of Electronic Systems  Volume 14, Issue 4
                August 2009
                226 pages
                ISSN:1084-4309
                EISSN:1557-7309
                DOI:10.1145/1562514
                Issue’s Table of Contents

                Copyright © 2009 ACM

                Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                Publisher

                Association for Computing Machinery

                New York, NY, United States

                Publication History

                • Published: 28 August 2009
                • Accepted: 1 May 2009
                • Revised: 1 March 2009
                • Received: 1 August 2008
                Published in todaes Volume 14, Issue 4

                Permissions

                Request permissions about this article.

                Request Permissions

                Check for updates

                Qualifiers

                • research-article
                • Research
                • Refereed

              PDF Format

              View or Download as a PDF file.

              PDF

              eReader

              View online with eReader.

              eReader