skip to main content
research-article

Coscheduling of processor voltage and control task period for energy-efficient control systems

Authors Info & Claims
Published:05 March 2010Publication History
Skip Abstract Section

Abstract

The Dynamic Voltage Scaling (DVS) technique has been widely studied for energy-constrained real-time systems; however, its application to control systems has not been studied in a variety of aspects. This article presents a novel method to simultaneously schedule processor voltage and control-task periods online, considering energy-efficiency of control systems as a whole. A new performance index is proposed, which contains both control performance and processor energy terms. Then, an online scheduler assigning processor voltage and control-task periods that maximizes the performance index is proposed. The performance of the proposed scheduler under varying control workload is verified using MATLAB simulations and experiments on an actual DVS hardware platform.

References

  1. Ahn, H.-J., Cho, M.-H., Jung, M.-J., Kim, Y.-H., Kim, J.-M., and Lee, C.-H. 2007. UbiFOS: A small real-time operating system for embedded systems. ETRI J. 29, 259--269.Google ScholarGoogle ScholarCross RefCross Ref
  2. Alenawy, T. A. and Aydin, H. 2005. Energy-aware task allocation for rate monotonic scheduling. In Proceedings of the 11th IEEE Real-Time and Embedded Technology and Applications Symposium. IEEE, Los Alamitos, CA, 213--223. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Aydin, H., Mejia-Alvarez, P., Mosse, D., and Melhem, R. 2001. Dynamic and aggressive scheduling techniques for power-aware real-time systems. In Proceedings of the 22nd IEEE Real-Time Systems Symposium. IEEE, Los Alamitos, CA, 95--105. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Bambha, N. K., Bhattacharyya, S. S., Teich, J., and Zitzler, E. 2001. Hybrid global/local search strategies for dynamic voltage scaling in embedded multiprocessors. In Proceedings of the 9th International Symposium on Hardware/Software Codesign. ACM, New York, 243--248. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Eker, J., and Cervin, A. 1999. A MATLAB toolbox for real-time and control systems co-design. In Proceedings of the 6th International Conference on Real-Time Computing Systems and Applications. IEEE, Los Alamitos, CA, 320--327. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Franklin, G. F., Powell, J. D., and Workman, M. L. 1998. Digital Control of Dynamic Systems. Addison Wesley, Upper Saddle River, NJ. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Hong, I., Qu, G., Potkonjak, M., and Srivastava, M. B. 1998. Synthesis techniques for low-power hard real-time systems on variable voltage processor. In Proceedings of the IEEE Real-Time Systems Symposium. IEEE, Los Alamitos, CA, 178--187. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. INTEL Corp. 2000. Intel StrongARM SA-1110 Microprocessor Developer's Manual. http://www.intel.com.Google ScholarGoogle Scholar
  9. Kajita, S., Kanehiro, F., Kaneko, K., Yokoi, K., and Hirukawa, H. 2001. The 3D linear inverted pendulum mode: A simple modeling for a biped walking pattern generation. In Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems. IEEE, Los Alamitos, CA, 239--246.Google ScholarGoogle Scholar
  10. Kim, B. K. 1999. Control latency for task assignment and scheduling of multiprocessor real-time control systems. Int. J. Syst. Sci. 30, 123--130.Google ScholarGoogle ScholarCross RefCross Ref
  11. Lee, H. S. and Kim, B. K. 2004. Design of digital control systems with dynamic voltage scaling. In Proceedings of the 10th IEEE Real-Time and Embedded Technology and Applications Symposium. IEEE, Los Alamitos, CA, 94--101. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Lee, H. S., and Kim, B. K. 2005. Dynamic voltage scaling for digital control system implementation. Real-Time Syst. 29, 263--280. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Lee, H. S. 2007. Research on Energy-Aware Control System Design with Dynamic Voltage Scaling. PhD Thesis, School of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology.Google ScholarGoogle Scholar
  14. Lee, Y.-H., Reddy, K. P., and Krishina, C. M. 2003. Scheduling techniques for reducing leakage power in hard real-time systems. In Proceedings of the 15th Euromicro Conference on Real-Time Systems (ECRTS'03). IEEE, Los Alamitos, CA, 105--112.Google ScholarGoogle Scholar
  15. Marinoni, M., and Buttazzo, G. 2007. Elastic DVS management in processors with discrete voltage/frequency modes. IEEE Trans. Indust. Inform. 3, 51--62.Google ScholarGoogle ScholarCross RefCross Ref
  16. Marti, P., Fohler, G., Ramamritham, K., and Fuertes, J. M. 2001. Jitter compensation for real-time control systems. In Proceedings of the 22nd IEEE Real-Time Systems Symposium. IEEE, Los Alamitos, CA, 39--48. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Mei, Y., Lu, Y.-H., Hu, Y. C., and Lee, C. S. G. 2005. A case study of mobile robot's energy consumption and conservation techniques. In Proceedings of the 12th International Conference on Advanced Robotics (ICAR'05). IEEE, Los Alamitos, CA, 492--497.Google ScholarGoogle Scholar
  18. Sakurai, T., and Newton, A. R. 1990. Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE J. Solid State Circuits 25, 584--594.Google ScholarGoogle ScholarCross RefCross Ref
  19. Seth, K., Anantaraman, A., Mueller, F., and Rotenberg, E. 2003. FAST: Frequency-aware static timing analysis. In Proceedings of the IEEE Real-Time Systems Symposium. IEEE, Los Alamitos, CA, 40--51. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Seto, D., Lehoczky, J. P., and Sha, L. 1998. Task period selection and schedulability in real-time systems. In Proceedings of the IEEE Real-Time Systems Symposium. IEEE, Los Alamitos, CA, 188--199. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Son, D., Yu, C., and Kim, H.-N. 2001. Dynamic voltage scaling on MPEG decoding. In Proceedings of the 8th International Conference on Parallel and Distributed Systems. IEEE, Los Alamitos, CA, 633--640. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Weste, N. H. E., Eshraghian, K., John, M., and Smith, S. 2000. Principles of CMOS VLSI Design. 2nd ed. Addison Wesley, Upper Saddle River, NJ. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Yao, F., Demers, A., and Shenker, S. 1995. A scheduling model for reduced CPU energy. In Proceedings of the 36th Annual IEEE Symposium on Foundations of Computer Science. IEEE, Los Alamitos, CA, 374--382. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Zhao, W., and Xia, F. 2006. An efficient approach to energy saving in microcontrollers. In Proceeding of the 11th Asia-Pacific Conference on Advances in Computer Systems Architecture. Springer, Berlin, 595--601. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Coscheduling of processor voltage and control task period for energy-efficient control systems

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Transactions on Embedded Computing Systems
          ACM Transactions on Embedded Computing Systems  Volume 9, Issue 3
          February 2010
          442 pages
          ISSN:1539-9087
          EISSN:1558-3465
          DOI:10.1145/1698772
          Issue’s Table of Contents

          Copyright © 2010 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 5 March 2010
          • Accepted: 1 February 2009
          • Revised: 1 November 2008
          • Received: 1 March 2008
          Published in tecs Volume 9, Issue 3

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader