skip to main content
research-article
Free Access

Phase change memory architecture and the quest for scalability

Published:01 July 2010Publication History
Skip Abstract Section

Abstract

Memory scaling is in jeopardy as charge storage and sensing mechanisms become less reliable for prevalent memory technologies, such as dynamic random access memory (DRAM). In contrast, phase change memory (PCM) relies on programmable resistances, as well as scalable current and thermal mechanisms. To deploy PCM as a DRAM alternative and to exploit its scalability, PCM must be architected to address relatively long latencies, high energy writes, and finite endurance.

We propose architectural enhancements that address these limitations and make PCM competitive with DRAM. A baseline PCM system is 1.6× slower and requires 2.2× more energy than a DRAM system. Buffer reorganizations reduce this delay and energy gap to 1.2× and 1.0×, using narrow rows to mitigate write energy as well as multiple rows to improve locality and write coalescing. Partial writes mitigate limited memory endurance to provide more than 10 years of lifetime. Process scaling will further reduce PCM energy costs and improve endurance.

References

  1. Aslot, V., Eigenmann, R. Quantitative performance analysis of the SPEC OMPM2001 benchmarks. Sci. Program. 11, 2 (2003). Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Bailey, D. et al. NAS parallel benchmarks. In Technical Report RNR-94-007, NASA Ames Research Center, March 1994.Google ScholarGoogle Scholar
  3. Bedeschi, F. et al. A multi-level-cell bipolar-selected phase-change memory. In International Solid-State Circuits Conference, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  4. Chen, Y. et al. Ultra-thin phase-change bridge memory device using GeSb. In International Electron Devices Meeting, 2006.Google ScholarGoogle ScholarCross RefCross Ref
  5. Choi, Y. Under the hood: DRAM architectures: 8F2 vs. 6F2. EE Times, February 2008.Google ScholarGoogle Scholar
  6. Condit, J. et al. Better I/O through byte-addressable, persistent memory. In Symposium on Operating System Principles, Oct 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Dong, X. et al. Leveraging 3D PCRAM technologies to reduce checkpoint overhead in future exascale systems. In Conference on Supercomputing, Nov 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Horii, H. et al. A novel cell technology using N-doped GeSbTe films for phase change RAM. In Symposium on VLSI Technology, 2003.Google ScholarGoogle ScholarCross RefCross Ref
  9. Lai, S. Current status of the phase change memory and its future. In International Electron Devices Meeting, 2003.Google ScholarGoogle ScholarCross RefCross Ref
  10. Lee, B., Ipek, E., Mutlu, O., Burger, D. Architecting phase change memory as a scalable DRAM alternative. In International Symposium on Computer Architecture, June 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Lee, K.-J. et al. A 90 nm 1.8 V 512 Mb diode-switch PRAM with 266 MB/s read throughput. J. Solid State Circuit. 43, 1 (Jan 2008).Google ScholarGoogle Scholar
  12. Micron. 512 Mb DDR2 SDRAM component data sheet: MT47H128M4B6-25. In www.micron.com, Mar 2006.Google ScholarGoogle Scholar
  13. Nirschl, T. et al. Write strategies for 2 and 4-bit multi-level phase-change memory. In International Electron Devices Meeting, 2008.Google ScholarGoogle Scholar
  14. Pirovano, A. et al. Scaling analysis of phase-change memory technology. In International Electron Devices Meeting, 2003.Google ScholarGoogle ScholarCross RefCross Ref
  15. Raoux, S. et al. Phase-change random access memory: A scalable technology. IBM J. Res. Dev. 52, 4/5 (Jul/Sept 2008). Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Renau, J. et al. SESC simulator. In http://sesc.sourceforge.net, 2005.Google ScholarGoogle Scholar
  17. Semiconductor Industry Association. Process integration, devices & structures. International Technology Roadmap for Semiconductors, 2007.Google ScholarGoogle Scholar
  18. Sinha, M. et al. High-performance and low-voltage sense-amplifier techniques for sub-90 nm sram. In International Systems-on-Chip Conference, 2003.Google ScholarGoogle ScholarCross RefCross Ref
  19. Woo, S. et al. The SPLASH-2 programs: Characterization and methodological considerations. In International Symposium on Computer Architecture, June 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Phase change memory architecture and the quest for scalability

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image Communications of the ACM
      Communications of the ACM  Volume 53, Issue 7
      July 2010
      133 pages
      ISSN:0001-0782
      EISSN:1557-7317
      DOI:10.1145/1785414
      Issue’s Table of Contents

      Copyright © 2010 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 1 July 2010

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Popular
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    HTML Format

    View this article in HTML Format .

    View HTML Format