skip to main content
10.1145/1837274.1837421acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Eyecharts: constructive benchmarking of gate sizing heuristics

Published:13 June 2010Publication History

ABSTRACT

Discrete gate sizing is one of the most commonly used, flexible, and powerful techniques for digital circuit optimization. The underlying problem has been proven to be NP-hard [1]. Several (suboptimal) gate sizing heuristics have been proposed over the past two decades, but research has suffered from the lack of any systematic way of assessing the quality of the proposed algorithms. We develop a method to generate benchmark circuits (called eyecharts) of arbitrary size along with a method to compute their optimal solutions using dynamic programming. We evaluate the suboptimalities of some popular gate sizing algorithms. Eyecharts help diagnose the weaknesses of existing gate sizing algorithms, enable systematic and quantitative comparison of sizing algorithms, and catalyze further gate sizing research. Our results show that common sizing methods (including commercial tools) can be suboptimal by as much as 54% (Vt-assignment), 46% (gate sizing) and 49% (gate-length biasing) for realistic libraries and circuit topologies.

References

  1. W. N. Li, "Strongly NP-Hard Discrete Gate Sizing Problems," Proc. ICCD, pp. 468--471, 1993.Google ScholarGoogle Scholar
  2. P. Gupta, A. B. Kahng, P. Sharma, and D. Sylvester, "Gate-length Biasing for Runtime-leakage Control," IEEE Trans. on CAD, pp. 1475--1485, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. J. Fishburn and A. Dunlop, "TILOS: A Posynomial Programming Approach to Transistor Sizing," Proc. ICCAD, pp. 269--273, 1985.Google ScholarGoogle Scholar
  4. P. K. Chan, "Algorithms for Library-specific Sizing of Combinational Logic," Proc. DAC, pp. 353--356, 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. M. R. C. M. Berkelaar and J. A. G. Jess, "Gate Sizing in MOS Digital Circuits with Linear Programming," Proc. EURO-DAC, pp. 217--221, 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. K. Jeong, A. B. Kahng, and H. Yao, "Revisiting the Linear Programming Framework for Leakage Power vs. Performance Optimization," Proc. ISQED, pp. 127--134, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. D. Nguyen, A. Davare, M. Orshansky, D. Chinnery, B. Tompson, and K. Keutzer, "Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization," Proc. ISLPED, pp. 158--163, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. A. Srivastava, "Simultaneous Vt Selection and Assignment for Leakage Optimization," Proc. ISLPED, pp. 146--151, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. H. Tennakoon and C. Sechen, "Gate Sizing Using Lagrangian Relaxation Combined with a Fast Gradient-based Pre-processing Step," Proc. ICCAD, pp. 395--402, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. C.-P. Chen, C. C. N. Chu, and D. F. Wong, "Fast and Exact Simultaneous Gate and Wire Sizing by Lagrangian Relaxation," Proc. ICCAD, pp. 617--624, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. S. S. Sapatnekar, V. B. Rao, and P. M. Vaidya, "A Convex Optimization Approach to Transistor Sizing for CMOS Circuits," Proc. ICCAD, pp. 482--485, 1991.Google ScholarGoogle Scholar
  12. K. Kasamsetty, M. Ketkar, and S. S. Sapatnekar, "A New Class of Convex Functions for Delay Modeling and its Application to the Transistor Sizing Problem," IEEE Trans. on CAD, pp. 779--788, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. H. Tennakoon and C. Sechen, "Efficient and Accurate Gate Sizing with Piecewise Convex Delay Models," Proc. DAC, pp. 807--812, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. O. Coudert, "Gate Sizing for Constrained Delay/Power/Area Optimization," IEEE Trans. on VLSI Systems, pp. 465--472, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. O. Coudert, R. Haddad, S. Manne, and S. Manne, "New Algorithms for Gate Sizing: A Comparative Study," Proc. DAC, pp. 734--739, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. S. Sirichotiyakul, T. Edwards, C. Oh, R. Panda, and D. Blaauw, "Duet: an Accurate Leakage Estimation and Optimization Tool for Dual-Vt Circuits," IEEE Trans. on VLSI Systems, pp. 79--90, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. S. Hu, M. Ketkar, and J. Hu, "Gate Sizing For Cell Library-Based Designs," Proc. DAC, pp. 847--852, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Y. Liu and J. Hu, "A New Algorithm for Simultaneous Gate Sizing and Threshold Voltage Assignment," Proc. ISPD, pp. 27--34, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. S. S. Shah, A. Srivastava, V. Zolotov, D. Sharma, D. Sylvester, and D. Blaauw, "Discrete Vt Assignment and Gate Sizing Using a Self-snapping Continuous Formulation," Proc. ICCAD, pp. 705--711, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. T.-H. Wu and A. Davoodi, "PaRS: Fast and Near-optimal Grid-based Cell Sizing for Library-based Design," Proc. ICCAD, pp. 107--111, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. H. Ren and S. Dutt, "A Network-Flow Based Cell Sizing Algorithm," Proc. IWLS, pp. 7--14, 2008.Google ScholarGoogle Scholar
  22. I. L. Markov and J. A. Roy, "On Sub-optimality and Scalability of Logic Synthesis Tools," Proc. IWLS, 2003.Google ScholarGoogle Scholar
  23. J. Cong, M. Romesis, and M. Xie, "Optimality and Stability Study of Timing-Driven Placement Algorithms," Proc. ICCAD, pp. 472--478, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. L. W. Hagen, D. J.-H. Huang, and A. B. Kahng, "Quantified Suboptimality of VLSI Layout Heuristics," Proc. DAC, pp. 216--221, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. J. Lillis, C.-K. Cheng, and T.-T. Y. Lin, "Simultaneous Routing and Buffer Insertion for High Performance Interconnect," Proc. GLSVLSI, pp. 148--153, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. L. van Ginneken, "Buffer Placement in Distributed RC-tree Networks for Minimal Elmore Delay," Proc. ISCAS, pp. 865--868 vol. 2, 1990.Google ScholarGoogle Scholar
  27. R. Bellman, Dynamic Programming. Dover Publications, N.Y, 1957. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Eyecharts: constructive benchmarking of gate sizing heuristics

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '10: Proceedings of the 47th Design Automation Conference
      June 2010
      1036 pages
      ISBN:9781450300025
      DOI:10.1145/1837274

      Copyright © 2010 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 13 June 2010

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader