skip to main content
10.1145/1840845.1840847acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Combined magnetic- and circuit-level enhancements for the nondestructive self-reference scheme of STT-RAM

Authors Info & Claims
Published:18 August 2010Publication History

ABSTRACT

A nondestructive self-reference read scheme (NSRS) was recently proposed to overcome the bit-to-bit variation in Spin-Transfer Torque Random Access Memory (STT-RAM). In this work, we introduced three magnetic- and circuit-level techniques, including 1) R-I curve skewing, 2) yield-driven sensing current selection, and 3) ratio matching to improve the sense margin and robustness of NSRS. The measurements of our 16Kb STT-RAM test chip show that compared to the original NSRS design, our proposed technologies successfully increased the sense margin by 2.5X with minimized impacts on the memory reliability and hardware cost.

References

  1. K. Kim and G. Jeong, "Memory Technologies for Sub-40nm Node," Int'l Electron Dev. Meeting, Dec. 2007, pp. 27--30.Google ScholarGoogle Scholar
  2. Int'l Technology Roadmap for Semiconductor, 2007.Google ScholarGoogle Scholar
  3. M. Motoyoshi, et al., "A Study for 0.18mm High-density MRAM," VLSI Symp., 2004, pp. 22--23.Google ScholarGoogle Scholar
  4. Y. K. Ha, et al., "MRAM with Novel Shaped Cell Using Synthetic Anti-ferromagnetic Free Layer," VLSI Symp., 2004, pp. 24--25.Google ScholarGoogle Scholar
  5. M. Hosomi, et al., "A Novel Nonvolatile Memory with Spin Torque Transfer Magnetization Switching: Spin-RAM," Int'l Electron Dev. Meeting, 2005, pp. 473--476.Google ScholarGoogle Scholar
  6. H. Tanizaki, "A High-density and High-speed 1T-4MTJ MRAM with Voltage Offset Self-Reference Sensing Scheme," Asian Solid-State Circuit. Conf., 2006, pp. 303--306.Google ScholarGoogle Scholar
  7. S. Tehrani et al., "Recent Developments in Magnetic Tunnel Junction MRAM," IEEE Trans. Magn., vol. 36, pp. 2752--2757, Sept. 2000.Google ScholarGoogle ScholarCross RefCross Ref
  8. G. Jeong et al., "A 0.24-mm 2.0-V 1T1MTJ 16-kb Nonvolatile Magnetoresistance RAM With Self-Reference Sensing Scheme", IEEE Jour. of Solid-State Circuit., vol. 38, No. 11, Nov. 2003, pp. 1906--1910.Google ScholarGoogle ScholarCross RefCross Ref
  9. Y. Chen, H. Li, X. Wang, W. Zhu, W. Xu and T. Zhang, "A Nondestructive Self-Reference Scheme for Spin-Transfer Torque Random Access Memory (STT-RAM)," Design, Autom. & Test in Europe Conf. and Exhi., Mar. 2010, pp. 148--153. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. R. Heald and P. Wang, "Variability in Sub-100nm SRAM Designs," IEEE/ACM Int'l Conf. on Computer-aided design, 2004, pp.347--352. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Y. Higo, et al., "Thermal Activation Effect on Spin Transfer Switching in Magnetic Tunnel Junctions," Appl. Phys. Lett., 87, 082502 (2005).Google ScholarGoogle ScholarCross RefCross Ref
  12. R. H. Koch, et al, "Time-Resolved Reversal of Spin-Transfer Switching in a Nanomagnet," Phys. Rev. Lett., 92, 088302 (2004).Google ScholarGoogle ScholarCross RefCross Ref
  13. MRAM Technical Guide, Freescale Semiconductor.Google ScholarGoogle Scholar
  14. J. Slaughter, "MRAM Technology: Status and Future Challenges," Cornell CNS Nanotechn.y Symp., May 2004.Google ScholarGoogle Scholar
  15. TSMC 130nm CMOS Process Design Manual.Google ScholarGoogle Scholar

Index Terms

  1. Combined magnetic- and circuit-level enhancements for the nondestructive self-reference scheme of STT-RAM

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          ISLPED '10: Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
          August 2010
          458 pages
          ISBN:9781450301466
          DOI:10.1145/1840845

          Copyright © 2010 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 18 August 2010

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate398of1,159submissions,34%

          Upcoming Conference

          ISLPED '24

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader