skip to main content
10.1145/1878921.1878956acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

E < MC2: less energy through multi-copy cache

Authors Info & Claims
Published:24 October 2010Publication History

ABSTRACT

Caches are known to consume a large part of total microprocessor power. Traditionally, voltage scaling has been used to reduce both dynamic and leakage power in caches. However, aggressive voltage reduction causes process-variation-induced failures in cache SRAM arrays, which compromise cache reliability. We present Multi-Copy Cache (MC2), a new cache architecture that achieves significant reduction in energy consumption through aggressive voltage scaling, while maintaining high error resilience (reliability) by exploiting multiple copies of each data item in the cache. Unlike many previous approaches, MC2 does not require any error map characterization and therefore is responsive to changing operating conditions (e.g., Vdd-noise, temperature and leakage) of the cache. MC2 also incurs significantly lower overheads compared to other ECC-based caches. Our experimental results on embedded benchmarks demonstrate that MC2 achieves up to 60% reduction in energy and energy-delay product (EDP) with only 3.5% reduction in IPC and no appreciable area overhead.

References

  1. International Technology Roadmap for Semiconductors, 2008. www.itrs.netGoogle ScholarGoogle Scholar
  2. W. Wong, C. Koh, et al., "VOSCH: Voltage scaled cache hierarchies," in Proc. ICCD 2007.Google ScholarGoogle Scholar
  3. C. Zhang, F. Vahid, and W. Najjar, "A highly configurable cache for low energy embedded systems," ACM TECS, vol. 4, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. F. Behmann, "Embedded.com - The ITRS process roadmap and nextgen embedded multicore SoC design," Mar. 2009.Google ScholarGoogle Scholar
  5. S. Mukhopadhyay, H. Mahmoodi, and K. Roy, "Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS," IEEE TCAD, vol. 24, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. C. Wilkerson, H. Gao, et al., "Trading off Cache Capacity for Reliability to Enable Low Voltage Operation," in Proc. ISCA 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. J. Fritts and W. Wolf, "Multi-level cache hierarchy evaluation for programmable media processors," in Proc. IEEE SiPS 2000.Google ScholarGoogle Scholar
  8. J. Fritts, W. Wolf, and B. Liu, "Understanding Multimedia Application Characteristics for Designing Programmable Media Processors," in Proc. SPIE 1999.Google ScholarGoogle Scholar
  9. M. Guthaus, J. Ringenberg, et al., "A free, commercially representative embedded benchmark suite," in Proc. IEEE WWC 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. M. Y. Hsiao, "A Class of Optimal Minimum Odd-weight-column SEC-DED Codes," IBM JRD, 1970. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. ARM Inc., "ARM Cortex-A8 Technical Reference Manual." http://www.arm.com/products/CPUs/ARM_Cortex-A8.htmlGoogle ScholarGoogle Scholar
  12. G. Sohi, "Cache memory organization to enhance the yield of high performance VLSI processors," IEEE TC, vol. 38, 1989. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. A. Agarwal, B. Paul, et al., "A process-tolerant cache architecture for improved yield in nanoscale technologies," IEEE TVLSI, vol. 13, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. A.K. Djahromi, A.M. Eltawil, et al., "Cross Layer Error Exploitation for Aggressive Voltage Scaling," in Proc. ISQED 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. M. Makhzan, A. Khajeh, et al., "Limits on voltage scaling for caches utilizing fault tolerant techniques," in Proc. ICCD 2007.Google ScholarGoogle Scholar
  16. A. Sasan, H. Homayoun, et al., "A fault tolerant cache architecture for sub 500mV operation: resizable data composer cache (RDC-cache)," in Proc. CASES 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. P. Shirvani and E. McCluskey, "PADded cache: a new fault-tolerance technique for cache memories," in Proc. IEEE VTS, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Wei Zhang, S. Gurumurthi, et al., "ICR: in-cache replication for enhancing data cache reliability," in Proc. IEEE DSN 2003.Google ScholarGoogle Scholar
  19. Q. Chen, H. Mahmoodi, et al., "Modeling and testing of SRAM for new failure mechanisms due to process variations in nanoscale CMOS," in Proc. IEEE VTS 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. S. Mukhopadhyay, H. Mahmoodi, and K. Roy, "Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS," IEEE TCAD vol. 24, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. B. Calhoun and A. Chandrakasan, "A 256kb Sub-threshold SRAM in 65nm CMOS," in Proc. ISSCC 2006.Google ScholarGoogle ScholarCross RefCross Ref
  22. A. Khajeh, A. Gupta, et al., "TRAM: A tool for Temperature and Reliability Aware Memory Design," in Proc. DATE 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. L. Chang, D. Fried, et al., "Stable SRAM cell design for the 32 nm node and beyond," in Proc. VLSI Tech 2005.Google ScholarGoogle Scholar
  24. J. Kulkarni, K. Kim, and K. Roy, "A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM," IEEE JSSC, vol. 42, 2007.Google ScholarGoogle Scholar
  25. B. Calhoun and A. Chandrakasan, "A 256kb Sub-threshold SRAM in 65nm CMOS," in Proc. ISSCC 2006.Google ScholarGoogle ScholarCross RefCross Ref
  26. S. Schuster, "Multiple word/bit line redundancy for semiconductor memories," IEEE JSSC, vol. 13, 1978.Google ScholarGoogle Scholar
  27. A. Sasan, H. Homayoun, et al., "Process Variation Aware SRAM/Cache for aggressive voltage-frequency scaling," in Proc. DATE 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. P. Genua, "A Cache Primer," Application Note, Freescale Semiconductors, 2004.Google ScholarGoogle Scholar
  29. J. Kim, N. Hardavellas, et al.., "Multi-bit Error Tolerant Caches Using Two-Dimensional Error Coding," in Proc. MICRO 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. R. Naseer and J. Draper, "Parallel double error correcting code design to mitigate multi-bit upsets in SRAMs," in Proc. ESSCIRC 2008.Google ScholarGoogle ScholarCross RefCross Ref
  31. P. Mazumder, "Design of a Fault-Tolerant Three-Dimensional Dynamic Random-Access Memory with On-Chip Error-Correcting Circuit," IEEE TC, vol. 42, 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. T. Austin, E. Larson, and D. Ernst, "SimpleScalar: an infrastructure for computer system modeling," IEEE Computer, vol. 35, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. W. Zhao and Y. Cao, "Predictive technology model for nano-CMOS design exploration," J. Emerg. Technol. Comput. Syst., vol. 3, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. M. Mamidipaka and N. Dutt, "eCACTI: An enhanced power estimation model for on-chip caches," in Technical Report R-04--28, CECS, UCI, 2004.Google ScholarGoogle Scholar
  35. M. Huang, J. Renau, et al., "L1 data cache decomposition for energy efficiency," in Proc. ISLPED, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. N. AbouGhazaleh, A. Ferreira, et al., "Integrated CPU and L2 cache voltage scaling using machine learning," in Proc. LCTES 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. S. Lin and D.J. Costello, Error control coding: fundamentals and applications, Prentice Hall, 1983.Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. M. Khellah, D. Somasekhar, et al., "A 256-Kb Dual-VCC SRAM Building Block in 65-nm CMOS Process With Actively Clamped Sleep Transistor," IEEE JSSC, vol. 42, 2007.Google ScholarGoogle Scholar
  39. D. Tarjan, S. Thoziyoor, and N.P. Jouppi, "CACTI 4.0," HP Laboratories, Technical Report, 2006.Google ScholarGoogle Scholar
  40. M. Meterelliyoz, J. P. Kulkarni, et al., "Thermal analysis of 8-T SRAM for nano-scaled technologies", in Proc. ISLPED 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. A. Diril, Y.S. Dhillon, et al., "Level-Shifter Free Design of Low Power Dual Supply Voltage CMOS Circuits Using Dual Threshold Voltages", in Proc. VLSID 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. Z. Chishti, A. Alameldeen, et al., "Improving cache lifetime reliability at ultra-low voltages", in Proc. MICRO 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  43. Predictive Technology Model (PTM) http://ptm.asu.eduGoogle ScholarGoogle Scholar
  44. A. Chakraborty, H. Homayoun, et al., "Multi-Copy Cache: A Highly Energy Efficient Cache Architecture" CECS, UC Irvine, Technical Report CECS-TR-10-05, 2010Google ScholarGoogle Scholar
  45. H. Homayoun, Mohammad Makhzan, Alex Veidenbaum, "Multiple sleep mode leakage control for cache peripheral circuits in embedded processors", in Proc. CASES 2008 Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. H. Homayoun et al., ZZ-HVS: "Zig-Zag Horizontal and Vertical Sleep Transistor Sharing to Reduce Leakage Power in On-Chip SRAM Peripheral Circuits". In Proc. ICCD, 2008.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. E < MC2: less energy through multi-copy cache

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            CASES '10: Proceedings of the 2010 international conference on Compilers, architectures and synthesis for embedded systems
            October 2010
            276 pages
            ISBN:9781605589039
            DOI:10.1145/1878921

            Copyright © 2010 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 24 October 2010

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article

            Acceptance Rates

            Overall Acceptance Rate52of230submissions,23%

            Upcoming Conference

            ESWEEK '24
            Twentieth Embedded Systems Week
            September 29 - October 4, 2024
            Raleigh , NC , USA

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader