skip to main content
10.1145/1999946.1999962acmconferencesArticle/Chapter ViewAbstractPublication PagesnocsConference Proceedingsconference-collections
research-article

All-optical wavelength-routed noc based on a novel hierarchical topology

Published:01 May 2011Publication History

ABSTRACT

This paper proposes a novel topology for optical Network on Chip (NoC) architectures with the key advantages of regularity, vertex symmetry, scalability to large scale networks, constant node degree, and simplicity. Moreover, we propose a minimal deterministic routing algorithm for the proposed topology which leads to small and simple photonic routers. Built upon our novel network topology, we present a scalable all-optical NoC, referred to as 2D-HERT, which offers passive routing of optical data streams based on their wavelengths. Utilizing wavelength routing method along with Wavelength Division Multiplexing technique, our proposed optical NoC eliminates the need for electrical resource reservation. We compare performance of the proposed architecture against electrical NoCs and alternative all-optical on-chip architectures under various synthetic traffic patterns. Averaging through different traffic patterns, achieves average perpacket power reduction of 53%, 45%, and 95% over optical crossbar, λ-router, and electrical Torus, respectively.

References

  1. N. Kirman et al. "Leveraging Optical Technology in Future Bus-based Chip Multiprocessors," in IEEE/ACM Annual Intl. Symp. on Microarchitecture, pp. 492--503, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary, "Firefly: Illuminating future network-on-chip with nanophotonics," in IEEE/ACM Intl. Symp. on Computer Architecture (ISCA), pp. 429--440, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. D. Vantrease et al. "Corona: System Implications of Emerging Nanophotonic Technology," in IEEE/ACM Intl. Symp. on Computer Architecture (ISCA), pp. 153--164, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. A. Shacham, K. Bergman, L. P. Carloni, "Photonic networks-on-chip for future generations of chip multi-processors," IEEE Trans. Comput., vol. 57, pp. 1--15, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. S. Koohi, S. Hessabi, "Contention-Free on-Chip Routing of Optical Packets," in Intl. Symp. on Networks-on-Chip (NOCS), pp. 134--143, May 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. M. J. Cianchetti, J. C. Kerekes, and D. H. Albonesi, "Phastlane: A Rapid Transit Optical Routing Network," in IEEE/ACM Intl. Symp. on Computer Architecture (ISCA), pp. 441--450, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. M. Briere et al., "System level assessment of an optical NoC in an MPSoC platform," in Design, Automation and Test in Europe (DATE), pp. 1084--1089, Mar. 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. H. Gu, J. Xu, and W. Zhang, "A low-power fat tree-based optical network-on-chip for multiprocessor system-on-chip," in Design, Automation and Test in Europe (DATE), pp. 3--8, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. H. Gu, J. Xu, and Z. Wang, "A novel optical mesh network-on-chip for gigascale systems-on-chip," in IEEE Asia Pacific Conf. on Circuits and Systems (APCCAS), pp. 1728--1731, 2008.Google ScholarGoogle Scholar
  10. P. Koonath, T. Indukuri, B. Jalali, "Add-drop filters utilizing vertically coupled microdisk resonators in silicon," J. Applied Physics Lett., vol. 86, pp. 091102-1-091102-3, Mar. 2005.Google ScholarGoogle ScholarCross RefCross Ref
  11. "OMNeT++ discrete event simulation system," available online at http://www.omnetpp.org/.Google ScholarGoogle Scholar
  12. ITRS, "The international technology roadmap for semiconductors -- 2007 edition," Available at http://public.itrs.net, 2007.Google ScholarGoogle Scholar
  13. M. Haurylau et al. "On-chip optical interconnect roadmap: Challenges and critical directions," IEEE J. Sel. Topics Quantum Electron, vol. 12, no. 6, pp. 1699--1705, 2006.Google ScholarGoogle ScholarCross RefCross Ref
  14. O'Connor, F. Gaffiot, "On-chip optical interconnect for low-power," in: E. Macii (Ed.), Ultra-Low Power Electronics and Design, Kluwer, Dordrecht, 2004.Google ScholarGoogle Scholar
  15. M. Lipson, "Guiding, modulating, and emitting light on silicon-challenges and opportunities," J. Lightw. Technol., vo. 23, no. 12, pp. 4222--4238, 2005.Google ScholarGoogle ScholarCross RefCross Ref
  16. S. Schultz, E. Glytsis, and T. Gaylord, "Design, fabrication, and performance of preferential-order volume grating waveguide coupler," Applied Optics-IP, vol. 39, no. 8, pp. 1223--1232, 2000.Google ScholarGoogle ScholarCross RefCross Ref
  17. D. Ding and D. Z. Pan. OIL, "A nano-photonics optical interconnect library for a new photonic networks-on-chip architecture," in Intl. Wkshp. on System-Level Interconnect Prediction, pp 11--18, July 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. G. Chen et al., "Predictions of CMOS compatible on-chip optical interconnect," VLSI J. Integration, vol. 40, no. 4, pp. 434--446, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Joshi, Ajay, et al. "Silicon-Photonic Clos Networks for Global On-Chip Communication," International Symposium on Networks-on-Chip (NOCS), pp. 124--133, May 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. All-optical wavelength-routed noc based on a novel hierarchical topology

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        NOCS '11: Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip
        May 2011
        282 pages
        ISBN:9781450307208
        DOI:10.1145/1999946

        Copyright © 2011 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 1 May 2011

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate14of44submissions,32%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader