skip to main content
research-article

The gem5 simulator

Authors Info & Claims
Published:31 August 2011Publication History
Skip Abstract Section

Abstract

The gem5 simulation infrastructure is the merger of the best aspects of the M5 [4] and GEMS [9] simulators. M5 provides a highly configurable simulation framework, multiple ISAs, and diverse CPU models. GEMS complements these features with a detailed and exible memory system, including support for multiple cache coherence protocols and interconnect models. Currently, gem5 supports most commercial ISAs (ARM, ALPHA, MIPS, Power, SPARC, and x86), including booting Linux on three of them (ARM, ALPHA, and x86).

The project is the result of the combined efforts of many academic and industrial institutions, including AMD, ARM, HP, MIPS, Princeton, MIT, and the Universities of Michigan, Texas, and Wisconsin. Over the past ten years, M5 and GEMS have been used in hundreds of publications and have been downloaded tens of thousands of times. The high level of collaboration on the gem5 project, combined with the previous success of the component parts and a liberal BSD-like license, make gem5 a valuable full-system simulation tool.

References

  1. Agarwal, N., Krishna, T., Peh, L.-S., and Jha, N. K. GARNET: A detailed on-chip network model inside a full-system simulator. In Proceedings of IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS) (Apr. 2009), pp. 33--42.Google ScholarGoogle ScholarCross RefCross Ref
  2. Barnes, B., and Slice, J. SimNow: A fast and functionally accurate AMD X86-64 system simulator. Tutorial at the IEEE International Workload Characterization Symposium, 2005.Google ScholarGoogle Scholar
  3. Bellard, F. QEMU, a fast and portable dynamic translator. In Proceedings of the USENIX Annual Technical Conference (2005), pp. 41--46. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Binkert, N. L., Dreslinski, R. G., Hsu, L. R., Lim, K. T., Saidi, A. G., and Reinhardt, S. K. The M5 Simulator: Modeling Networked Systems. IEEE Micro 26, 4 (Jul/Aug 2006), 52--60. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Black, G., Binkert, N., Reinhardt, S. K., and Saidi, A. Processor and System-on-Chip Simulation. Springer, 2010, ch. 5, \Modular ISAIndependent Full-System Simulation".Google ScholarGoogle Scholar
  6. Kahng, A. B., Li, B., Peh, L.-S., and Samadi, K. ORION 2.0: a fast and accurate NoC power and area model for early-stage design space exploration. In Proceedings of the Conference on Design, Automation and Test in Europe (2009), pp. 423--428. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Keltcher, C. N., McGrath, K. J., Ahmed, A., and Conway, P. The AMD Opteron Processor for Multiprocessor Servers. IEEE Micro 23, 2 (Mar/Apr 2003), 66--76. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Li, S., Ahn, J. H., Strong, R. D., Brockman, J. B., Tullsen, D. M., and Jouppi, N. P. Mc- PAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture (2009), pp. 469--480. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Martin, M. M. K., Sorin, D. J., Beckmann, B. M., Marty, M. R., Xu, M., Alameldeen, A. R., Moore, K. E., Hill, M. D., and Wood, D. A. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset. SIGARCH Comput. Archit. News 33, 4 (2005), 92--99. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Marty, M. R., Bingham, J. D., Hill, M. D., Hu, A. J., Martin, M. M. K., and Wood, D. A. Improving multiple-CMP systems using token coherence. In Proceedings of the 11th Annual International Symposium on High-Performance Computer Architecture (HPCA) (2005), pp. 328--339. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Reinhardt, S. K., Hill, M. D., Larus, J. R., Lebeck, A. R., Lewis, J. C., and Wood, D. A. The Wisconsin Wind Tunnel: Virtual prototyping of parallel computers. In Proceedings of the 1993 ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems (1993), pp. 48--60. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. The gem5 simulator

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in

            Full Access

            • Published in

              cover image ACM SIGARCH Computer Architecture News
              ACM SIGARCH Computer Architecture News  Volume 39, Issue 2
              May 2011
              52 pages
              ISSN:0163-5964
              DOI:10.1145/2024716
              Issue’s Table of Contents

              Copyright © 2011 Authors

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 31 August 2011

              Check for updates

              Qualifiers

              • research-article

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader