skip to main content
10.1145/2024724.2024945acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Decoupling for power gating: sources of power noise and design strategies

Authors Info & Claims
Published:05 June 2011Publication History

ABSTRACT

Power gating is essential for controlling leakage power dissipation of modern chip designs. However, power gating introduces unique power delivery integrity issues and tradeoffs between switching and rush current (wake-up) supply noises. In addition, in power-gated power delivery networks (PDNs), the amount of power saving intrinsically trades off with power integrity. In this paper, we propose systemic decoupling capacitance optimization strategies that optimally balance between switching and rush current noises, and tradeoff between power integrity and wake-up time, hence power saving. Furthermore, we propose a novel re-routable decoupling capacitance concept to break the tight interaction between power integrity and power saving, providing further improved tradeoffs between the two. Our design strategies have been implemented in a simulation-based optimization flow and the conducted experimental results have demonstrated significant improvement on leakage power saving through the presented techniques.

References

  1. The international technlogy roadmap for semiconductors (itrs) 2009 edition. http://public.itrs.net/, 2009.Google ScholarGoogle Scholar
  2. Haihua Su, S. S. Sapatnekar, and S. R. Nassif. Optimal decoupling capacitor sizing and placement for standard-cell layout designs. 22(4):428--436, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Shiyou Zhao, K. Roy, and Cheng-Kok Koh. Decoupling capacitance allocation and its application to power-supply noise-aware floorplanning. 21(1):81--92, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Hailin Jiang, M. Marek-Sadowska, and S. R. Nassif. Benefits and costs of power-gating technique. In Proc. IEEE Int. Conf. Computer Design: VLSI in Computers and Processors ICCD 2005, pages 559--566, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. K. Agarwal, H. Deogun, D. Sylvester, and K. Nowka. Power gating with multiple sleep modes. In Proc. 7th Int. Symp. Quality Electronic Design ISQED '06, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. K.-i. Kawasaki, T. Shiota, K. Nakayama, and A. Inoue. A sub-μs wake-up time power gating technique with bypass power line for rush current support. In Proc. IEEE Symp. VLSI Circuits, pages 146--147, 2008.Google ScholarGoogle Scholar
  7. Yiran Chen, Hai Li, K. Roy, and Cheng-Kok Koh. Gated decap: gate leakage control of on-chip decoupling capacitors in scaled technologies. In Proc. Custom Integrated Circuits Conf the IEEE 2005, pages 775--778, 2005.Google ScholarGoogle ScholarCross RefCross Ref
  8. M. S. Gupta, J. L. Oatley, R. Joseph, Gu-Yeon Wei, and D. M. Brooks. Understanding voltage variations in chip multiprocessors using a distributed power-delivery network. In Proc. Design, Automation & Test in Europe Conf. & Exhibition DATE '07, pages 1--6, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. Popovich, M. Sotman, A. Kolodny, and E. G. Friedman. Effective radii of on-chip decoupling capacitors. 16(7):894--907, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Decoupling for power gating: sources of power noise and design strategies

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '11: Proceedings of the 48th Design Automation Conference
      June 2011
      1055 pages
      ISBN:9781450306362
      DOI:10.1145/2024724

      Copyright © 2011 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 5 June 2011

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader