skip to main content
10.1145/2160916.2160939acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

Efficient on-line module-level wake-up scheduling for high performance multi-module designs

Authors Info & Claims
Published:25 March 2012Publication History

ABSTRACT

Power consumption has become the major bottleneck for modern high-performance architectures, which typically contain large numbers of modules. To suppress leakage power, sleep transistors have been extensively used, and wake-up scheduling is needed to determine the wake-up times and order of these sleep transistors. Most existing works on wake-up scheduling are based on sleep transistors and delay buffers in daisy-chains; they work well for the gate-level scheduling within a module when all the gates need to be turned on. Yet, for state-of-the-art designs, the number of modules that need to be turned on and their locations may vary depending on the task to be performed at runtime. Accordingly, we cannot extend the existing gate-level scheduling algorithms to decide the module-level wake-up order. To address the problem, we propose to first off-line construct a multi-conflict graph (MCG) based on the noise constraints; based on the graph, we then develop an on-line algorithm to decide the wake-up order. Experimental results show that on average, the wake-up latency from our approach is not only 46.01% shorter compared with the existing work but also conservatively only 0.45% longer than that from a Monte Carlo search-based evaluation, which is orders of magnitude slower. To the best of our knowledge, this is the first in-depth study on on-line module-level wake-up scheduling for high-performance architectures.

References

  1. A. Abdollahi, F. Fallah and M. Pedram, "A Robust Power Gating Structure and Power Mode Transition Strategy for MTCMOS Design," IEEE Transactions on VLSI Systems, vol. 15, no. 1, January 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. D. Brelaz, "New Methods to Color the Vertices of a Graph," Communications of the ACM, Vol. 22, Issue 4, Apr. 1979. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. C. Bron and J. Kerbosch, "Algorithm 457: Finding All Cliques of an Undirected Graph," Communications of the ACM, Vol. 16, Issue 9, 1973. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. S. H. Chen and J. Y. Lin, "Experiences of low power design implementation and verification," Prof. of the ASPDAC, pp. 742--747, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. D. S. Chiou, D. C. Juan, Y. T. Chen, and S. C. Chang, "Fine-Grained Sleep Transistor Sizing Algorithm for Leakage Power Minimization," Proc. of the DAC, pp. 81--86, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. A. H. Farrahi, D. J. Hathaway, M. Wang, M. Sarrafzadeh, "Quality of EDA CAD tools: definitions, metrics and directions," Proc. of the ISQED, pp. 395--405, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. S. Hong and H. Kim, "An Integrated GPU Power and Performance Model," Proc. of ISCA, pp. 280--289, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. H. Jiang, M. Marek-Sadowska and S. R. Nassif, "Benefits and Costs of Power-Gating Technique," Proc. of the ICCD, pp. 559--566, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. H. Jiang and M. Marek-Sadowska, "Power Gating Scheduling for Power/Ground Noise Reduction," Proc. of the DAC, pp. 980--985, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. D. C. Juan, Y. T. Chen, M. C. Lee and S. C. Chang, "An Efficient Wake-Up Strategy Considering Spurious Glitches Phenomenon for Power Gating Designs," IEEE Transactions on VLSI Systems, Vol. 18, Issue 2, pp. 246--255, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. S. Kim, S. V. Kosonocky and D. R. Knebel, "Understanding and Minimizing Ground Bounce During Mode Transition of Power Gating Structures," Proc. of the ISLPED, pp. 22--25, August 25-27, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Y. Lee, D.-K. Jeong, and T. Kim, "Simultaneous Control of Power/Ground Current, Wakeup Time and Transistor Overhead in Power Gated Circuits," Proc. of the ICCAD, pp. 169--172, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. A. Ramalingam, A. Devgan and D. Z. Pan, "Wakeup Scheduling in MTCMOS Circuits Using Successive Relaxation to Minimize Ground Bounce," Journal of Low Power Electronics, vol. 3, pp. 1--8, 2007.Google ScholarGoogle ScholarCross RefCross Ref
  14. K. Shi, and D. Howard, "Challenges in Sleep Transistor Design and Implementation in Low-Power Designs," Proc. of the DAC, pp. 113--116, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. H. Xu, R. Vemuri, W.-B. Jone, "Current shaping and multi-thread activation for fast and reliable power mode transition in multicore designs," Proc. of the ICCAD, pp. 637--641, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Y. Xu, W. Liu, Y. Wang, J. Xu, X. Chen, H. Yang, "On-line MPSoC Scheduling Considering Power Gating Induced Power/Ground Noise," Proc. of the ISVLSI, pp. 109--114, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. http://www.legitreviews.com/article/1228/12/Google ScholarGoogle Scholar

Index Terms

  1. Efficient on-line module-level wake-up scheduling for high performance multi-module designs

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISPD '12: Proceedings of the 2012 ACM international symposium on International Symposium on Physical Design
      March 2012
      220 pages
      ISBN:9781450311670
      DOI:10.1145/2160916

      Copyright © 2012 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 25 March 2012

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate62of172submissions,36%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader